modelism仿真时计数器不计数是怎么回事 代码如下

今日头条

1100人已加入

描述

`timescale 1 ns/ 1 ns
module led1_vlg_tst();
reg eachvec;
reg clk;
reg rst;
reg cnt;                                              
wire led;
                        
led1 i1 ( 
 .clk(clk),
 .led(led),
 .rst(rst)
);
initial                                               
begin                                                 
                    
clk = 0;
rst = 0;
#100
rst = 1;                                          
end 
always #1 clk = ~clk;                                                 
endmodule


module led1(clk,rst,led);

input clk,rst;
output led;

parameter time_1 = 27'd5000_0000;

reg[26:0] cnt;
reg led;

always @(posedge clk or negedge rst)
   if(!rst)
    cnt <= 27'd0;
   else if(cnt == time_1)
    cnt <= 27'd0;
   else
    cnt <= cnt + 1'b1;
    
always @(posedge clk or negedge rst)
   if(!rst)
    led <= 1'b1;
   else if(cnt == time_1)
    led <= ~led;
   
endmodule
   

cnt 一直为x 怎么回事??

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 相关推荐

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分