Vivado进行时序约束的两种方式

电子说

1.2w人已加入

描述

时序约束辅助工具

上面我们讲的都是xdc文件的方式进行时序约束,Vivado中还提供了两种图形界面的方式,帮我们进行时序约束:时序约束编辑器(Edit Timing Constraints )和时序约束向导(Constraints Wizard)。两者都可以在综合或实现后的Design中打开。

1. 时序约束编辑器

打开之后就可显示出我们之前做的所有约束,当然,还可以再添加、删除或修改时序约束。

比如我们要新添加一个主时钟,先选中左边的Create Clock,再点击+号添加约束,然后就会看到下面的界面,按下图中步骤操作。

其中,选择时钟按钮会弹出一个新的窗口,如下图所示,我们只需根据时钟名字进行查找并选择即可。

2. 时序约束向导

时序约束向导可以自动识别出未约束的主时钟,我们把wave_gen工程的xdc文件中对clk2的时钟约束注释掉,重新综合并实现后,打开时序约束向导,可以看到clk2被检测出未约束,点击编辑按钮,设置参数后就可完成约束。

时序约束向导会按照主时钟约束、衍生时钟约束、输入延迟约束、输出延迟约束、时序例外约束、异步时钟约束等的顺序引导设计者创建约束。

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分