MAX+PLUSⅡ的设计处理

matlab实验

10人已加入

描述

实验三  MAX+PLUSⅡ的设计处理

一  实验目的
1掌握MAX+PLUSⅡ的基本使用。
2掌握MAX+PLUSⅡ的设计处理过程中的编译和仿真。
3 掌握MAX+PLUSⅡ的设计处理过程中的三种定时分析模式。
二  实验设备与仪器
1 计算机
2  MAX+PLUSⅡ工具软件
三  实验内容
1  设计项目的建立与设计输入。利用实验一编辑的60十进制同步计数器进行设计。
2  设计项目的编译。
3  设计项目的仿真。
4  MAX+PLUSⅡ的定时分析及器件编程-延时矩阵分析模式和建立/保持矩阵分析模式。
四  实验原理
MAX+PLUSII为设计提供了一个集成编译器环境,在集成编译器中可进行网络表提取、数据库编码、逻辑综合、分割、适配、定时时间提取、汇编等功能。在MAX+PLUSII管理窗口运行MAX+PLUSII/Compiler菜单命令,则出现集成编译器窗口,如图3-1所示。选择Start按钮即可以开始编译,编译器运行时,每运行到一个功能块,下面的指示线

PlusⅡ

图3-1  MAX+PLUS II集成编译器窗口

变成红色。MAX+PLUSII编译器将检查设计项目是否有错,并对设计项目进行逻辑综合,然后配置到一个已选择好的Altera器件中,同时将产生报告文件、编程文件和用于时间仿真用的输出文件。
设计输入和编译完成后,并不代表设计就是成功的。编译的成功只能保证为项目创建一个编程文件,保证了设计输入的基本正确性,而不能保证该项目的逻辑关系的正确性,也不能保证时序的正确性。仿真作为项目验证的一种手段,和项目设计、项目验证一样重要。MAX+PLUSII提供的仿真功能带给设计者很多的方便。仿真包括功能仿真和时序(模拟)仿真。功能仿真,又称前仿真,是在不考虑器件延时的理想情况下的一种项目验证方法,通过功能仿真来验证一个项目的逻辑功能是否正确。时序仿真又称模拟仿真或后仿真,是在考虑设计项目具体适配器件的各种延时的情况下的一种项目验证方法。时序仿真不仅测试逻辑功能,还测试目标器件最差情况下的时间关系。因此电路设计经过时序仿真后,基本上能够达到设计要求。
MAX+PLUSⅡ定时分析器提供了三种分析模式,这三种分析模式分别是:
(1)延时矩阵分析模式:分析多个源节点和目标节点之间的传输路径延时时间;
(2)分析时序电路的性能,包括性能上有限定值的延时、最小时钟周期和最高工作频率等;
(3)计算从输入引脚到触发器和锁存器的信号输入所需要的最小的建立时间和保持时间。
五  实验步骤
1 打开编辑好的图形设计文件,在开始编译前必须要设置以下一些选项。
(1)器件的选择
(2)保密位的设置
(3)启用设计规则检查工具
(4)锁定管脚
(5)选择全局项目逻辑综合方式
(6)设置全局定时要求
(7)网表文件的提取
(8)报告文件的设置
2  设计项目的仿真
(1)仿真通道文件的创建
MAX+PLUSⅡ是通过建立一个仿真通道文件(.scf)来完成仿真功能的。仿真通道文件就是通过波形编辑器输入激励波形为仿真器提供输入向量。在对某一项目进行仿真时,仿真器根据输入结点的逻辑电平算出并重写未定义的隐含结点和输出结点的逻辑电平。
(2)仿真通道文件的编辑
仿真通道文件的编辑包括设定时间轴长度、设定时间网格大小显示网格、输入节点、编辑输入结点的信号波形和存盘并保存默认仿真文件and.scf文件等几个步骤。60十进制同步计数器仿真通道文件的编辑参见图3-2。
(3)设计项目的仿真
打开仿真器窗口要选择菜单命令MAX+PLUSⅡ/Simulator或单击 按钮,即打开仿真器,在仿真对话框中单击Start按纽,即可进行仿真。
(4)仿真结果的分析
图3-2是60十进制同步计数器的仿真结果。通过输出结果检查输入端与输出端之间的关系是否正确。
PlusⅡ

图3-2  60十进制同步计数器的仿真

3  定时分析
  选择默认的延时矩阵分析模式,打开定时分析器窗口运行定时分析器,可看到源节点和目标节点之间的传输路径延时时间。60十进制同步计数器的延时矩阵分析结果如图3-3所示。

PlusⅡ

选择分析时序电路的性能定时分析模式打开定时分析器窗口运行定时分析器,如图3-5所示。可看到60十进制同步计数器的时钟周期为15.3ns,最佳工作频率为65.35MHz。
PlusⅡ

图3-5分析时序电路的性能定时分析模式

六  记录实验结果并完成实验报告
1 观察 60十进制同步计数器的编译结果。用平面规划图观察器件管脚锁定的状态。
2 观察 60十进制同步计数器的仿真结果。如果有竞争冒险出现,根据延时分析矩阵找出竞争冒险出现的时间。根据建立/保持矩阵分析寄存器的建立时间和保持时间。

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分