Proteus8.9 VSM Studio GCC编译器仿真STM32F407ZGT6系列011_lcd1602并口

描述

一,打开文件(可以随文下载放置在文档中打开)。(如下图1所示)

 PROTEUS仿真

图1


二,调整原理图大小,适合可视,另存工程文件。(如下图2,3,4所示)

PROTEUS仿真 

图2


 PROTEUS仿真

图3


 PROTEUS仿真

图4


三,点击Source Code标签。(如下图5所示)

PROTEUS仿真 

图5


四,编辑main.c 代码如Proteus8.9 VSM Studio GCC编译器仿真STM32F407ZGT6系列011_lcd1602并口(如下图6所示)

 PROTEUS仿真

图6


五,Main.c 代码:


/* Main.c file generated by New Project wizard

 * Author:   Ziegler Yin

 * Created:   周四 一月 16 2020

 * Processor: STM32F407ZGT6ZGT6

 * Compiler:  GCC for ARM

 */


#include "mfuncs.h"

#include "delay.h"

#include "usart.h"

#include "lcd1602.h"


u8 l;


int main(void)

delay_init(84);  //初始化延时函数

NVIC_PriorityGroupConfig(NVIC_PriorityGroup_2);//设置系统中断优先级分组2

uart_init(9600);//初始化串口波特率为115200

GPIOSt_Init();

lcd_init();

 

   while(1) //实现比较值从0-300递增,到300后从300-0递减,循环

{

  LClear();

for(l=0; l<100; l++) delay_ms(5);

ShowStr(1, 0, "Kaillen WorkWork", 12);

ShowStr(4, 1, "Wellcom YOU!", 12);

for(l=0; l<255; l++) delay_ms(5);


uprint("大家好!!!\r\n");

uprint("大家来造呼吸机-----。\r\n");

uprint("控制芯片STM32F407ZGT6_011_LED1602并口02仿真开始了。\r\n");


LClear();

for(l=0; l<100; l++) delay_ms(5);

ShowStr(1, 0,"Code Made", 9);

ShowStr(2, 1, "---Ziegler Yin", 14);

for(l=0; l<100; l++) delay_ms(5);

}

}

void main(void)

{

        init();  

        while(1){

LClear();

delay(500);

ShowStr(1, 0, "Kaillen WorkWork", 12);

ShowStr(4, 1, "Wellcom YOU!", 12);

delay(500);


LClear();

delay(500);

ShowStr(1, 0,"Code Made", 9);

ShowStr(2, 1, "---Ziegler Yin", 14);

delay(500);

}

}

六,点击构建工程按钮,编译工程。(如下图7所示)

PROTEUS仿真 

图7


七,点击窗口左下方仿真按钮,可见lcd1602和虚拟串口的信息显示在屏。 (如下图8,9,10所示)

 PROTEUS仿真

图8


 PROTEUS仿真

图9

PROTEUS仿真 

图10



八,选择release,点击构建工程按钮,编译工程生成Hex文件。(如下图11所示)

 PROTEUS仿真

图11



附件Proteus8.9 VSM Studio GCC编译器仿真STM32F407ZGT6系列011_lcd1602并口在已安装Proteus8.9的计算机文件夹中打开即可

需要进一步了解,请加入QQ群:976235464


打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
评论(0)
发评论
kaillen 2020-05-13
0 回复 举报
新版找不到编辑按钮。 收起回复

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分