×

使用Proteus实现7段数码管显示的实验资料合集免费下载

消耗积分:0 | 格式:doc | 大小:10.81 MB | 2020-06-19

Arrival1112

分享资料个

  一、实验项目名称

  7段数码管显示

  包括2个任务1:protues上仿真实现8个数码管动态显示0~7,2:在实验箱上完成8个数码管动态显示0~7,进一步实现数字流动显示

  二、实验目的

  1:以便熟练掌握C语言编程,2:以便熟练使用I/O口操作,3:以便熟练使用开发软件4:以便熟练使用实验箱,5:以便熟练学会测试程序,6以便掌握数码管驱动芯片74HC595的编程要点

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !