并行加法的高效实现

描述

这里并行加法是指多个加法操作同时执行,这意味着需要消耗多个加法器。这里我们以4个12-bit数相加(加数和被加数均为12-bit,故和为13-bit,从而避免了溢出问题)。相应的电路图如下图所示。图中的RTL_ADD即为加法器,同时此电路对输入和输出数据均添加了流水寄存器。

数组

此电路对应的RTL代码如下图所示,这里我们使用了SystemVerilog来描述。输入a和b均为4个12-bit数据构成的数组。输出p也是4个12-bit构成的数组。代码第17行至第23行是核心部分,包括了对输入、输出添加流水寄存器和加法操作。

       责任编辑:lq

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分