VARON IP的生成、连接、嵌入

电子说

1.2w人已加入

描述

HDL

VARON IP

VARON的第一步是在生成VARON IP之前创建具有探测信号列表的配置文件。VARON IP由Verilog HDL编写,允许用户使用用户电路进行仿真。生成完成,并使用VARON IP运行RTL仿真并执行性能分析。

01

varon_ip_tool命令

“varon_ip_tool”命令是VARON生成并连接到用户电路命令。该命令需要配置文件和用户创建的连接文件。

“varon_ip_tool”的帮助信息如下所示。

% varon_ip_tool -help

用法: varon_ip_tool [options]

选项: 

-h        --帮助                   显示此帮助-g     --generate               VARON IP生成器-c --configuration VARON IP 配置  JSON 文件 [输入]-i       --inject                 VARON IP 导入器-f        --connection             VARON IP 连接JSON文件-d        --libdir                 脚本运行的路径

“-g”选项用于VARON IP生成。“-i”选项是将VARON与用户电路连接的连接选项。“-g”选项的配置文件和“-i”选项的连接文件应以JSON格式描述。有关JSON格式的文件说明,请参阅“ 02 VARON IP生成”和“ 03 VARON IP连接”。

02

VARON IP生成

VARON IP生成的第一步是准备配置文件。用户应使用JSON格式的VARON IP生成参数准备配置文件。VARON仿真版本包含示例配置文件,该文件允许用户作为创建配置文件的起点来满足设计。带有配置文件的“ varon_ip_tool”命令生成VARON IP RTL。

•执行命令:

varon_ip_tool -g -d -c

•示例:

varon_ip_tool -g -d /usr/lib64/varon_monitor_gui/ip_tool -c varon_ip_configuration_file.json执行后,将RTL文件生成到配置文件中指定的“ RTL_output_directory”目录中。使用VARON IP生成命令需要有效的许可证。首次使用VARON生成和注入命令时,请先启动VARON Monitor,然后设置IP地址和许可证服务器的端口号。许可证服务器设置完成后,将在用户的主目录中生成“ .varon_server_ip_port”文件,其中包含IP地址和端口号。    

03

VARON IP连接

VARON IP应该嵌入到目标用户的设计中。以JSON格式编写的连接文件需要连接VARON IP信号和用户设计。执行“ varon_ip_tool”命令会生成带有嵌入式VARON IP的用户RTL文件。

用户可以选择能够监测到AXI总线信号的层次结构或者其上一级嵌入VARON IP。VARON IP嵌入命令应读取目标用户的设计源文件以执行,从VARON IP嵌入的层次结构到可以看到AXI总线信号的下一层结构(命令需要了解方向和位宽)。

VARON IP插入命令为“ varon_ip_tool -i”。它需要下面描述的一些选项,例如库目录和连接文件。

•执行命令:

varon_ip_tool -i -d -f         •执行示例:

varon_ip_tool -i -d /usr/lib64/varon_monitor_gui/ip_tool -f varon_ip_connection_file.json将生成RTL文件到连接文件中指定的“ RTL_output_directory”目录中。  

04

执行仿真

用户可以使用插入的VARON IP运行RTL仿真。仿真器也需要阅读VARON IP,添加以下仿真器选项要求。         • Cadence Xcelium (xrun)

-sv_root  -sv_lib libvaron_sw        • Synopsys VCS

-svlib /libvaron_sw.so        • Mentor Questa (vsim)

-svlib /libvron_sw

注意:请为以上所有仿真器执行64位模式。 

 

原文标题:VARON IP的生成、连接、嵌入与执行

文章出处:【微信公众号:FPGA技术支持】欢迎添加关注!文章转载请注明出处。

责任编辑:haq

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分