在当今IC行业,我们面对哪些挑战和机遇?

描述

芯片设计原理基于数学、物理、材料、计算机等科学,EDA作为芯片技术创新力量的巨大推动者,把各个科学理论作为研发的基础。EDA研发工作者更是始终站在科技发展前沿,时刻应对新工艺,新应用对技术提出的新挑战。

值此与行业同行的第25年,新思中国邀请公司多位EDA研发精英,分享他们对技术研究的心得以及对前沿科技探索的收获。    

以下是曹爱群博士对数字设计实现的研究心得以及对行业未来的期许:

请您分享一下您近期的研究心得。

● 我所带领的研发团队一直在开拓创新,我们最近的一个新的突破,是在从RTL到GDS的整个设计流程中统一了优化引擎。过去的30多年,数字设计的实现一直遵循从逻辑综合布局、时钟树综合布线到最终验收的一系列步骤,由于每一个步骤都相对独立,且对算法、方法和优先级的需求都不同,在此情况下,我们争对每个步骤开发了各自独立的优化引擎。这些独立开发会给研发带来很大的压力并影响效率和结果质量。

● Fusion Compiler特有的RTL到GDS的通用数据模型,为我们提供了一个能够将所有引擎整合到一起的全新平台。基于每一个引擎的优势,我们搭建了一个超级引擎,并通过通用数据模型实现了整个流程中技术的自由流动。这意味着这个超级引擎可以部署在流程中的任一环节,此前只可用在部分环节的优化技术现在可用于全流程。这为我们的用户提供了实现更佳的功耗、性能和面积的新机会。

● 这一超级引擎仅仅是Fusion Compiler领先技术优势的一个体现,可以帮助客户在设计中减少冗余、提高效率。未来,我们还将凝聚所有引擎的优秀研发人才共同开发,不断突破自我,持续为客户提供更优的解决方案。   

在当今IC行业,我们面对哪些挑战和机遇?

● 先进的工艺节点,如7nm、5nm、3nm,以及Gate-all-Around(GAA)这样的晶体管新结构,提高了芯片的每瓦性能,并整体降低了芯片功耗。但与此同时新工艺和新结构的运行方式存在很大差异,对于设计上极其微小的变化很敏感。导线的延迟对芯片性能带来更为重要的影响,且由于在设计早期布线选择的难预测性,芯片设计仍然面临大量设计迭代的挑战。

● 近来,我们在机器学习方面取得重大进展,为芯片设计提供了新的方法和思路。机器学习可以让我们在早期就能了解芯片的性能、功率、布线拥塞以及其他各个方面,开发者可以提前做好准备,安排不同的结构,进行相应的优化,从而减少设计迭代,最终实现更优的功耗、性能、面积还有目标,并让交互时间更短。机器学习减少了我们的重复性劳动,让我们可以把精力花在更具有创意的事情上。

● 机器学习技术已经在新思科技客户的实际量产中得到应用,它显著提升了客户5nm芯片的性能和功耗,得到了客户的一致好评。此外,我们已在10月推出了更多的机器学习新技术,以进一步巩固我们在这一领域的领先地位。  

中国将如何影响万物智能的未来?存在哪些挑战和机遇?

● 中国在发展万物智能方面具有两方面的独特优势。一是基础设施扎实,对新基建投入巨大。例如,中国的手机覆盖率全球领先,并持续引领5G网络的部署,这种强大的自上而下的对通信技术的投入是一切智能技术的基础。二是软件的普及和成熟,从三岁孩童到百岁老人,从城市到农村,人人都熟悉像微信这样的互联平台,电子支付也正在成为主流,这为发展万物智能提供了最佳环境。●万物智能同时也给我们带来新的挑战。例如,由于设备需要始终保持运行和通信,可移动智能设备,如智能手机,它的续航力对于用户来说就非常重要,续航力决定着用户下班后是否可以顺利打车回家,是否可以顺利使用手机支付功能,或者用户在开车时能否使用手机接受最新交通消息等等,诸如此类的挑战还很多。

● 万物智能还促使我们从更宏大的角度看效率。比如,5G站点非常重要,那么为了避免数据拥堵而需要建造多少信号塔,这些投资是否值得,这些都是未来需要考虑的问题。

请给中国的开发者一些建议。

● 从历史中学习,但也要跳出固有思维。西方宝贵的经验可以借鉴,但不要成为追随者。即使100个创新中有99个无所成就,唯一的成功依然可能永远改变我们的生活和方向。

● 中国有许多新的集成电路设计公司,而且行业获得了前所未有的大力支持。对于开发者而言,这是一生难逢的尝试和创新的机会,所以,哪怕有可能经历失败,但只要切实吸取宝贵的经验,也能不断进步。

责任编辑:lq

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分