×

Xilinx FPGA的SerDes接口详细说明

消耗积分:0 | 格式:rar | 大小:0.21 MB | 2020-12-30

分享资料个

  因为摄像头输出的LVDS信号速率会达到600Mbps,我们将不能够通过FPGA的I/O接口直接去读取这么高速率的信号。因此,需要使用Xilinx FPGA内的SerDes去实现高速数据的串并转换。

  熊猫君的文章“Zynq高速串行CMOS接口的设计与实现”,都已经说清楚了,大神~~

  参考文档ug953,ug471,我们为了捕获OV7251摄像头LVDS的数据信号,将会使用的以下资源:

  IDELAYCTRL

  IDELAYE2

  ISERDESE2

  ODELAYE2 (用于模拟LVDS输出信号)

  OSERDESE2 (用于模拟LVDS输出信号)

  MMCME2_ADV

  BUFG, BUFR, BUFIO, IBUFG, IBUFDS, OBUFDS

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !