FPGA中如何通过JTAG固化程序?

电子说

1.2w人已加入

描述

 

学习了 FPGA 一段时间,简单的设计仿真验证以及有所熟悉,今天将学习通过 JTAG 固化程序。具体步骤以及截图如下:

本教程使用的软件是:Quartus II 13.0

芯片型号是:EP4CE10F17C8

步骤一:程序的转化

在 Quartus II 中,单击 File --> Convert Programming Files,打开此界面,如下图 1 所示:

图 1:转化程序界面

在上图 1 界面中,”Programming file type:”标签后选择”JTAG Indirect Configuration File(.jic)”;

在”Configuration device:”标签后选择你所使用的 EPCS 型号,我选择的是 EPCS16。

在”File name:”标签后,为生成的.jic 文件名

在”Input files to convert”方框中,单击 Flash Leader,再单击 Add Device…,选择 EP4CE10

(需根据自己的 FPGA 型号选择);如下图 2 所示:

图 2:Select Devices 界面

单击 SOF Data,再单击 Add File…,选择 Quartus II 全编译后的.sof 文件。配置完成界面

如下图 3 所示:

图 3:Select Input File 界面

单击 Generate,则开始生成.jic 文件,生成后,则可关闭此界面,如下图 4 所示:

图 4:Generate 界面

步骤二:JTAG 烧写

在软件中,单击 Tools-->Programmer,或者点击 图标,进入下载界面,如下图 5 所示:

图 5:下载 界面

在此界面中,观察下载线是否连接好,如果没有,则单击 Hardware Setup…,选择下载线缆。双击选择 Usb-Blaster,如下图 6 所示:如果单击后还没有,则检查下载线驱动是否安装正确。

图 6:选择 Usb-Blaster 界面

返回下载界面,单击 key_led.sof,再单击 Change File…,选择之前转换的output_file.jic。添加完成后,安照下图 7 所示的勾选选项:

图 7:选择.jic 文件 界面

最后 单击 Start,开始下载,完成界面如下图 8 所示:

图 8:Successful 界面

注:下载成功后,先重启开发板,再拔掉下载线,则就会看到固化程序的显示

结果了。

原文标题:FPGA学习笔记-通过JTAG 固化程序

文章出处:【微信公众号:FPGA设计论坛】欢迎添加关注!文章转载请注明出处。

责任编辑:haq

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分