Verilog如何实现一阶sigma_delta DAC

描述

一阶 sigma-delta 调制器类似于 PWM,但如果需要对其进行滤波,则具有更好的频率响应,因为它具有更高的频率输出内容。

创建一阶 sigma-delta 调制器的最简单方法是使用硬件累加器……每次累加器溢出时,输出“1”。否则输出’0’。这在 FPGA 中很容易完成。

module PWM3( clk, rst_n, PWM_in, PWM_out);input clk;input rst_n;input [3:0] PWM_in;output PWM_out;

reg [4:0] PWM_accumulator;always @(posedge clk or negedge rst_n) if(!rst_n) PWM_accumulator 《=0; else PWM_accumulator 《= PWM_accumulator[3:0] + PWM_in;

assign PWM_out = PWM_accumulator[4];endmodule

输入值越高,累加器溢出越快(“ PWM _ 累加器[4]”) ,输出“1”的频率越高。

编辑:jq

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分