Vivado两种生成固化烧录文件的教程

电子说

1.2w人已加入

描述

一、生成固化文件1、先生成bit文件,再生成固化文件

2、生成固化文件步骤

A选择当前flash的大小,注意这里是MB,不是Mb。

B选择要生成的固化文件的保存路径,设置名字。

C选择当前芯片的烧录方式

D选择已经生成的bit文件。

E勾选所有。

3、点击OK,生成完成。

二、固化文件1、连接设备,open Device

1、设置参数

选择芯片的参数

注意芯片的电压。

点击OK后出现下面界面

选择固化的文件.Msc和.Prm文件,其他不用设置,点击OK,开始固化。

固化完成后需要关闭设备,断电后重启。

2、连接固化代码后的设备。

添加lit文件,注意是和bit文件在统一目录下。

如果后面重新生成了bit文件,那么固化的文件也需要再重新生成,两者需要对应。

命令行方式

注意:只用一条命令即可:

其实这条命令就是在第一种方法中下图中command命令复制出来的。这里解释一下这条命令。

代码

write_cfgmem -format mcs -size 128 -interface SMAPx16 -loadbit {up 0x00000000 “M:/led.bit” } -checksum -force -disablebitswap -file “C:/Users/Xilinx/Vivado/led.mcs”

write_cfgmem:顾名思义,这就是写配置存储文件,也就是生成配置存储文件。

format:生成存储文件的格式,这里是 mcs

size:这里指定Flash大小,这里是以Byte为单位

interface:指定Flash接口是SMAPx16

loadbit: 指定生成MCS文件需要的比特流文件,并且指定MCS文件存放起始地址是 0x00000000,地址增长方向是向上增长。

file:指定mcs文件存放地址及文件名

参考链接

ug908

ug835

https://blog.csdn.net/MaoChuangAn/article/details/80763034

编辑:jq

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分