半导体工业的环境可持续性:日益增长的优先事项

描述

集成电路制造究竟占用多少环境资源?时至今天,回答这个问题仍不容易:因为至今仍缺乏一种全面的方法,来准确评估芯片制造的环境影响。不过,Imec已经制定了一种解决方案,通过扩展其设计技术协同优化(DTCO)框架,可以估算当前和未来逻辑CMOS技术的能耗、用水量和温室气体排放量。第一个分析显示,由于芯片技术的日益复杂,所有这些量度随着节点演进而不断增加。该框架允许企业在大批量生产之前很早的时候,就可做出更可持续的制造工艺选择。这些初步研究结果已经在国际教育和管理研究所大会(IEDM)上发表。

半导体工业的环境可持续性:日益增长的优先事项

半导体工业是能源、水、化学品和原材料的资源密集型产业。在制造过程中,会产生不同种类的排放物,包括像二氧化碳和含氟化合物这类的温室气体。如何最大限度地减少该行业对环境的影响,并遵守当地和全球的政策,环境、健康和安全(EHS)控制,在相当长的一段时间内,将成为每一家半导体厂工作的重要组成部分。

由于对气候变化、资源枯竭和全球污染的日益关注,工厂和设备供应商希望为更绿色的IC制造做出更多的努力。虽然EHS控制主要限于化学品、减排和水资源管理,但半导体公司希望了解并减少其产品的全部生态资源的占用。减少资源占用可能还会保证业务的连续性--例如,如果涉及到稀缺的材料—也许会给公司带来竞争优势。如今,许多公司依靠诸如生命周期评估(LCA)等方法来评估产品的环境影响,从材料采购到寿命结束。

缺失的拼图:未来集成电路的生命周期评估

然而,目前的LCA方法还远远不够精确和完整,特别是在集成电路方面。最新公布的关于芯片制造中使用的气态平衡和能量流的信息,针对的是32纳米技术节点--这是2010年代的主流技术。最新的和即将出现的CMOS工艺处理的环境数据很难获得。而已知的信息主要来源于局部,要么来自设备或材料供应商,要么来自半导体制造厂生产后发布的信息。Fabless公司根本无法获取信息。所以,眼下还缺乏一种全面的办法,这对于如何在早期技术定义阶段就将环境因素纳入具有极大的挑战性。

主要绊脚石:未来技术日益增加的复杂度

由于随着节点演进,复杂度不断增加,从而使得CMOS工艺环境影响的估算变得非常复杂。多年来,在芯片制造的所有步骤中,包括前端线(FEOL)、中端线(MOL)和后端线(BEOL),都引入了新的材料、器件结构、工艺和设备,以确保摩尔定律的连续性。对于未来的工艺节点,正在探索大量的选项,以确保在提高性能(即工作频率)的同时,进一步减少逻辑单元面积。

为了打印更窄的间距,光刻技术已经从单次曝光193nm(浸没)光刻发展到双、三或四重图案化方法。EUV光刻可用于7nm节点,使工艺步骤数大大减少。但并非每一家半导体制造厂都实现了这一转变,因为对于相同的间距,有多种加工路线可供选择。对于未来的技术节点,30nm以下的打印间距将需要多个EUV岩性蚀刻序列。

在FEOL中,FinFET已经成为7nm技术节点的主流设备架构,这是目前用于芯片生产最先进的节点。对于下一个技术节点,Imec认为(垂直堆叠)横向纳米片是发展的方向,其次是叉片器件架构和互补场效应管(CFET)。

为了跟上前端的面积缩小,BEOL尺寸必须以更快的速度减小——导致金属节距越来越小,导线的横截面积也越来越小。多年来,互连层的数量和最密集金属线的复杂性显著增加。正在探索新的金属化工艺方案,并正在引入新的金属材料,以降低最致密层的电阻率。

从“快乐微缩”到设计技术协同优化

伴随这一演变而来的是DTCO:即设计技术协同优化。大约2005年左右以前,半导体界还一直生活在一个“快乐微缩”的时代。那时,随着晶体管的不断缩小,在功耗、性能、面积和制造成本(称为PPAC)方面为整个系统带来了好处。但自2005年以来,人们越来越认识到,只有器件制造技术和设计共同优化,才能保持效益。DTCO通过引入微缩助推器,允许进一步缩小面积,不是在晶体管上,而是在标准单元水平上。微缩助推器,如自对准栅极触点或埋入式电源轨,可进一步改善芯片不同部分之间的连接,但这也对FEOL、BEOL和MOL级别的芯片生产带来不利影响。

DTCO包括可持续性:Imec方法

如上所述,DTCO框架可以作为环境指标分析的有趣基础,这些指标可以与标准PPAC指标并行进行监测。DTCO考虑了当前和未来IC技术的工艺流程。这些可与工艺步骤和设备的相关环境信息相结合,从而进行功耗-性能-面积-成本-环境(PPACE)打分评估分析。

Imec将电能消耗、超纯净水使用和温室气体排放作为评估环境影响的主要指标。为了用这些指标扩展DTCO框架,Imec团队使用了来自其300毫米晶圆厂的数据,并辅之以来自其设备供应商生态系统的信息。这样,不同的专有知识信息就可以串接起来了。

其目的是,对已经处于探索阶段的不同工艺节点选择进行PPACE分析,以识别大批量制造之前的瓶颈、风险和机遇。这就需要一种真正的整体方法来进行正确的评估。例如,众所周知,在EUV制程每中,每个工具消耗的功率大约是传统193nm(浸入式)光刻工具的十倍。不过,EUV大幅减少了制造工艺步骤,故在计算总的用电量时必须考虑到这一点。

Imec使用扩展的DTCO框架对从28nm到2nm节点的不同流程和集成方案进行量化和基准测试。接下来,演示了如何使用该框架进行更可持续的制造工艺选择。

审核编辑 :李倩

 

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分