Verilog中关于文件操作的系统任务

电子说

1.2w人已加入

描述

Verilog提供了很多对文件操作的系统任务和函数,例如打开关闭文件、向文件写入值、从文件读出值等等。

当我们使用这些文件操作时,需要注意文件属性和变量内容,选择正确的系统任务,提供相关参数,以避免一些不必要的错误。这里主要介绍关于文件打开/关闭、文件写入和文件读出相关的操作。

文件打开/关闭

文件打开 a=$fopen("file.txt","w") 打开file.txt文件,a为返回32bit文件描述符
文件关闭 $fclose(a) 关闭a描述的对应文件

函数

文件写入

$fdisplay 按顺序或条件写,自动换行
$fwrite 按顺序或条件写,不自动换行
$fstrobe 语句执行完毕后选通写文件
$fmonitor 只要有数据变换就写文件

函数

文件读出

$fgetc 按字符读文件
$fgets 按行读文件
$fscanf 按格式读文件
$fread 按二进制读文件

除此之外,还有两个常用的系统任务$readmemb和$readmemh,用于从文件中读取数据到存储器中。读取的内容只包括:空白位置(空格、换行、制表格(tab和form-feeds),注释行、二进制或十六进制的数字。

假设file.txt文件中的数据为

12345678

5a5a5a5a

deadbeef

87654321

函数

本文主要介绍常用的文件操作系统任务,其余没介绍的大家可以按需学习。

审核编辑:汤梓红

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分