「前沿技术」新思科技PrimeClosure解决方案助力设计效率提升10倍

电子说

1.2w人已加入

描述

来源:《半导体芯科技》杂志 10/11月刊

新思科技推出突破性的黄金签核ECO解决方案,旨在解决工程设计收敛时间过长的问题,从而提高先进电子设计效率,实现更佳功耗、性能和面积(PPA)目标。新思科技PrimeClosure解决方案将行业领先的ECO签核解决方案——新思科技PrimeECO™和新思科技Tweaker™ECO——与多种突破性的创新技术相结合,实现更快的ECO收敛时间,同时兼顾高容量和PrimeTime®黄金签核精度。与传统的ECO流程相比,早期客户采用PrimeClosure解决方案实现了时序提高45%、功耗降低10%、ECO迭代次数减少50%、设计效率提升10倍。

数据中心、便携设备、汽车电子、人工智能和物联网等应用领域都对PPA提出了更高的要求。先进工艺节点的发展产生了新物理规则和影响PPA的新效应。深亚微米设计的规模和复杂性都非常巨大,因此为修复问题而进行的每一次分析和ECO优化都需要更长时间,并消耗更多的计算资源。处理大量违例并推进收敛以将其减少至零,是ECO的一个重要挑战。

新思科技PrimeClosure解决方案具备创新的针对性优化功能,能够极大地改善PPA、时序、时钟网络、压降、变异性和老化等设计指标。该解决方案与新思科技Fusion Compiler™RTL-to-GDSII解决方案、新思科技PrimeTime静态时序分析解决方案紧密集成,能够实现黄金签核精度,从而提供完整流程以加快大型设计项目的设计收敛和上市时间。

借助其创新的千兆芯片层次化设计技术,新思科技PrimeClosure解决方案能以相对较少机器数量,针对具有十亿级规模标准单元和数百种分析场景的设计进行无缝扩展,从而实现业界领先的快速设计周转时间。它的优化剪枝技术可以有效筛选数以千计的场景和数以百计的层次化模块,减少需要优化的数据集数量,最终使设计周转时间加速超过40%,减少高达60%的内存消耗。

审核编辑:汤梓红

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分