verilog的时钟分频与时钟使能

描述

时钟使能电路是同步设计的基本电路,在很多设计中,虽然内部不同模块的处理速度不同,但由于这些时钟是同源的,可以将它们转化为单一时钟处理;在ASIC中可以通过STA约束让分频始终和源时钟同相,但FPGA由于器件本身和工具的限制,分频时钟和源时钟的Skew不容易控制(使用锁相环分频是个例外),难以保证分频时钟和源时钟同相,因此推荐的方法是使用时钟使能,通过使用时钟使能可以避免时钟“满天飞”的情况,进而避免了不必要的亚稳态发生,在降低设计复杂度的同时也提高了设计的可靠性;

禁止用计数器分频后的信号做其它模块的时钟,而要用改成时钟使能的方式,否则这种时钟满天飞的方式对设计的可靠性极为不利,也大大增加了静态时序分析的复杂性;

带使能端的D触发器,比一般D触发器多了使能端,只有在使能信号EN有效时,数据才能从D端被打入D触发器,否则Q端输出不改变,我们可以用带使能端的D触发器来实现时钟使能的功能;

verilog模型举例

在某系统中,前级数据输入位宽为8位,而后级的数据输出位宽为32,我们需要将8bit数据转换为32bit,由于后级的处理位宽为前级的4倍,因此后级处理的时钟频率也将下降为前级的1/4,若不使用时钟使能,则要将前级的时钟进行4分频来作后级处理的时钟;这种设计方法会引入新的时钟域,处理上需要采取多时钟域处理的方式,因而在设计复杂度提高的同时系统的可靠性也将降低。为了避免以上问题,我们采用了时钟使能以减少设计复杂度;

  1. module clk_en(

  2. clk, rst, data_in, data_out

  3. );

  4. input clk;

  5. input rst;

  6. input [7:0] data_in;

  7. output [31:0] data_out;


  8. reg [31:0] data_out;

  9. reg [31:0] data_shift;

  10. reg [1:0] cnt;

  11. reg clken;//使能信号


  12. always @(posedge clk )

  13. begin

  14. if (rst)

  15. cnt <= 0;

  16. else

  17. cnt <= cnt + 1;

  18. end


  19. always @(posedge clk )

  20. begin

  21. if (rst)

  22. clken <= 0;

  23. else if (cnt == 2'b01)

  24. clken <= 1;//使能信号只在一个周期拉高

  25. else

  26. clken <= 0;

  27. end


  28. always @(posedge clk )

  29. begin

  30. if (rst)

  31. data_shift <= 0;

  32. else

  33. data_shift <= {data_shift[23:0],data_in};//移位

  34. end


  35. always @(posedge clk)

  36. begin

  37. if (rst)

  38. data_out <= 0;

  39. else if (clken == 1'b1)

  40. data_out <= data_shift;//只在一个周期输出

  41. end

  42. endmodule

F

 


 

F




精彩推荐



至芯科技12年不忘初心、再度起航12月17日北京中心FPGA工程师就业班开课、线上线下多维教学、欢迎咨询!
FIR滤波器和IIR滤波器的区别与联系
Vivado使用技巧-支持的Verilog语法
扫码加微信邀请您加入FPGA学习交流群



F
F


欢迎加入至芯科技FPGA微信学习交流群,这里有一群优秀的FPGA工程师、学生、老师、这里FPGA技术交流学习氛围浓厚、相互分享、相互帮助、叫上小伙伴一起加入吧!

 

点个在看你最好看





原文标题:verilog的时钟分频与时钟使能

文章出处:【微信公众号:FPGA设计论坛】欢迎添加关注!文章转载请注明出处。

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 相关推荐
  • F

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分