DDS设备简单、高效、灵活地生成高质量波形

描述

直接数字合成 (DDS) 技术用于生成和修改高质量波形,广泛应用于医学、工业、仪器仪表、通信和国防等广泛领域。本文概述了该技术,介绍了其优点和局限性,并介绍了一些应用示例以及使该技术更容易获得的新产品。

介绍

众多行业的一个关键要求是准确生成、轻松操作和快速更改各种频率和类型的波形。无论宽带收发器需要具有出色无杂散动态性能的敏捷低相位噪声频率源,还是工业测量和控制系统需要稳定的频率激励,在保持相位连续性的同时快速、轻松且经济高效地生成可调波形的能力都是直接数字频率合成可以满足的关键设计标准。

频率合成的任务

日益严重的频谱拥塞,加上对更低功率、更高质量测量设备的永不满足的需求,要求使用新的频率范围并更好地利用现有频率范围。因此,人们正在寻求更好地控制频率生成——在大多数情况下,在频率合成器的协助下。这些设备使用给定的频率fC,以生成相关所需频率(和相位)的波形,f外.一般关系可以简单地写成

f外= εx× fC

其中比例因子εx,有时称为归一化频率。

该方程始终使用实数的逐步近似算法来实现。当比例因子是有理数,两个相对素数的比值时,输出频率和参考频率将谐波相关。然而,在大多数情况下,εx 可以属于更广泛的实数集,并且近似过程一旦落在可接受的范围内就会被截断。

直接数字频率合成

频率合成器的一个实际实现是直接数字频率合成(DDFS),通常简称为直接数字频率合成(DDS)。该技术使用数字数据处理来生成与固定频率参考或时钟源f相关的频率和相位可调输出。 C.在DDS架构中,基准或系统时钟频率由比例因子除以,比例因子由可编程二进制调谐字设置。

简单地说,直接数字频率合成器将一系列时钟脉冲转换为模拟波形,通常是正弦波、三角波或方波。如图1所示,其基本部件包括:相位累加器,产生对应于输出波形相位角的数字,相数字转换器,产生在特定相位角下输出幅度的瞬时数字部分,以及数模转换器(DAC),将该数字值转换为采样模拟数据点。

收发器

图1.DDS系统的功能框图。

对于正弦波输出,相位数字转换器通常是一个正弦查找表(图 2)。相位累加器计数N,以产生与fC根据等式,

收发器

其中:
M 是调谐字的分辨率(24 位到 48 位)。
N 是fC对应于相位累加器输出字的最小增量相位变化。

收发器

图2.带DAC的典型DDS架构和信号路径。

由于对N的变化会导致输出相位和频率的立即变化,因此系统本质上是相位连续的,这是许多应用中的关键属性。与锁相环(PLL)等模拟型系统相比,不需要环路建立时间。

DAC通常是专门设计用于DDS内核(相位累加器和相位幅度转换器)的高性能电路。在大多数情况下,生成的器件(通常是单个芯片)通常称为完整的DDS或C-DDS。

实用的DDS器件通常集成多个寄存器,以实现各种频率和相位调制方案。当包括在内时,相位寄存器的内容被添加到相位累加器之后。这使得输出正弦波能够与相位调谐字相对应。这对于通信系统中的相位调制应用非常有用。加法器电路的分辨率决定了相位调谐字中的位数,因此也决定了延迟的分辨率。

在单个器件中集成DDS引擎和DAC各有利弊,但无论是否集成,都需要DAC来创建具有卓越纯度的高质量模拟信号。DAC将数字正弦输出转换为模拟正弦波,可以是单端或差分。一些关键要求是低相位噪声、出色的宽带 (WB-) 和窄带 (NB-) 无杂散动态范围 (SFDR) 以及低功耗。如果是外部元件,DAC需要足够快才能处理信号,因此具有并行端口的器件很常见。

DDS 与其他解决方案

频率生成的其他可能性包括模拟锁相环 (PLL)、时钟发生器以及使用 FPGA 对 DAC 的输出进行动态编程。通过检查频谱性能和功耗,可以对技术进行简单的比较,如表1所示。

表 1.DDS 与竞争技术 — 高级比较

 

  功耗 光谱纯度
 
评论
 
.DDS
 

 
中等
 
易于调整
 
分立式 DAC + FPGA
 
中等
 
中高
 
能够调整
 
模拟相环
 
中等
 

 
难以调谐
 

 

锁相环是一种反馈环路,包括:相位比较器、分压器和压控振荡器 (VCO)。相位比较器将参考频率与输出频率(通常除以系数N)进行比较,相位比较器产生的误差电压施加到VCO上,VCO产生输出频率。当环路建立时,输出将在频率和/或相位上与基准电压源保持精确的关系。长期以来,PLL一直被认为是低相位噪声和高无杂散动态范围(SFDR)应用的卓越器件,这些应用需要在特定目标频段内提供高保真度和稳定的信号。

它们无法准确快速地调谐频率输出和波形,响应速度慢,限制了它们对捷变跳频和某些频移和相移键控应用等应用的适用性。

其他方法,包括带有嵌入式DDS引擎的现场可编程门阵列(FPGA),与现成的DAC相结合以合成输出正弦波,解决了PLL的跳频困难,但也有其自身的弱点。系统的主要缺点包括更高的操作和接口电源要求、更高的成本、大尺寸以及系统开发人员的额外软件、硬件和内存开销。例如,使用现代 FPGA 上的 DDS 引擎选件生成动态范围为 60 dB 的 10 MHz 输出信号需要高达 72 kB 的内存。此外,设计人员需要熟悉微妙的权衡和DDS内核的架构。

实际上(见表2),CMOS处理的快速发展,加上现代数字设计技术和改进的DAC拓扑结构,使DDS技术实现了以前在各种应用中无法达到的功耗、频谱性能和成本水平。虽然完整的DDS产品永远无法与高端DAC技术和FPGA的定制组合所能实现的最高性能和设计灵活性相媲美,但尺寸、功耗和成本优势,加上DDS器件的简单性,可能使它们很容易成为许多应用的首选。

表 2.基准分析摘要—频率生成技术 (<50 MHz)

 

  锁相环
 
数字转换器 + 射频转换器
 
.DDS
 
光谱性能
 

 
中高
 
中等
 
系统电源要求
 

 

 

 
数字频率调谐
 

 
是的
 
是的
 
调整响应时间
 

 

 

 
解决方案尺寸/封装
 
中等
 

 

 
波形灵活性
 

 
中等
 

 
成本
 
中等
 

 
设计重用
 
中等
 

 

 
实施复杂性
 
中等
 

 

 

 

另请注意,由于DDS器件从根本上体现了生成输出波形的数字方法,因此它可以简化某些解决方案的架构,或者可以对波形进行数字编程。虽然正弦波通常用于解释DDS的功能和操作,但从现代DDS IC很容易产生三角波或方波(时钟)输出,避免了前一种情况下的查找表和后一种情况下的DAC,其中集成一个简单而精确的比较器就足够了。

DDS 性能和限制

图像和信封:正弦(x)/x 滚降

DAC的实际输出不是连续的正弦波,而是具有正弦时间包络的一系列脉冲。相应的频谱是一组图像和别名。图像沿着sin(x)/x包络分布(参见图3中的|幅度|图)。滤波对于抑制目标频带外的频率是必要的,但它不能抑制通带内出现的高阶混叠(例如,由于DAC非线性)。

奈奎斯特准则规定,每个周期至少需要两个样本来重建所需的输出波形。图像响应在采样输出频谱中创建L A时钟 ×f外.在此示例中,其中f时钟= 25 MHz 和f外= 5 MHz,第一个和第二个图像出现在(见图3)在f时钟 ×f外,或 20 MHz 和 30 MHz。第三和第四个图像出现在 45 MHz 和 55 MHz 处。请注意,sin(x)/x 零点出现在采样频率的倍数处。在以下情况下f外大于奈奎斯特带宽(1/2f时钟),第一个图像响应将在奈奎斯特带宽内显示为混叠图像(例如,15 MHz信号将混叠低至10 MHz)。锯齿图像不能使用传统的奈奎斯特抗锯齿滤波器从输出中滤除。

收发器

图3.在 DDS 中的 Sin(x)/x 滚降。

在典型的DDS应用中,使用低通滤波器来抑制输出频谱中镜像响应的影响。为了保持低通滤波器的截止要求合理且滤波器设计简单,公认的准则是限制f外带宽约为 40%f时钟频率使用经济型低通输出滤波器。

任何给定图像响应基波的振幅都可以使用 sin(x)/x 公式计算。由于该功能随频率滚降,基波输出的幅度将与其调谐频率成反比;在DDS系统中,直流至奈奎斯特带宽的降幅为–3.92 dB。

第一个图像的幅度很大,在基波的3 dB以内。为了简化DDS应用的滤波要求,重要的是生成频率规划并分析图像的频谱考虑因素以及所需时的sin(x)/x幅度响应f外和f时钟频率。支持ADI公司DDS产品系列的在线交互式设计工具可以快速轻松地仿真图像的位置,并允许用户选择图像超出目标频带的频率。有关其他有用信息,请参阅更多信息和有用链接部分。

输出频谱中的其他异常,例如DAC的积分和差分线性误差、与DAC相关的毛刺能量以及时钟馈通噪声,将不会遵循sin(x)/x滚降响应。这些异常在输出频谱中的许多地方表现为谐波和杂散能量,但幅度通常远低于镜像响应。DDS器件的一般本底噪声由基板噪声、热噪声效应、接地耦合和其他信号耦合源的累积组合决定。DDS器件的本底噪声、性能杂散和抖动受电路板布局、电源质量以及最重要的输入参考时钟质量的影响很大。

抖动

一个完美的时钟源应该有精确的时间间隔出现的边沿,永远不会改变。当然,这是不可能的;即使是最好的振荡器也是由非理想元件构成的,并且存在噪声和其他缺陷。高质量、低相位噪声晶体振荡器将具有皮秒量级的抖动,这些抖动累积在数百万个时钟边沿上。抖动是由热噪声、振荡器电子电路的不稳定性以及通过电源、接地和输出连接的外部干扰引起的,所有这些都会导致振荡器时序的干扰。此外,振荡器还受到外部磁场或电场以及附近发射器的RF干扰的影响。振荡器电路中的简单放大器、逆变器或缓冲器也会增加信号抖动。

因此,选择具有低抖动和锐边的稳定参考时钟振荡器至关重要。较高频率的参考时钟允许更大的过采样,并且通过分频可以在一定程度上改善抖动,因为对信号频率进行除法会在较长时间内产生相同数量的抖动,从而降低信号抖动的百分比。

噪声—包括相位噪声

采样系统中的噪声取决于许多因素,首先是参考时钟抖动,它表现为基波信号上的相位噪声。在DDS系统中,截断相位寄存器输出可能会引入与代码相关的系统错误。二进制编码字不会导致截断错误。然而,对于非二进制编码字,相位噪声截断误差会在频谱中产生杂散。杂散的频率/幅度由码字决定。DAC的量化和线性误差也会增加系统中的谐波噪声。时域误差,如下冲/过冲和代码毛刺,都会对输出信号造成失真。

应用

DDS 应用程序可以分为两个主要类别:

需要敏捷频率源进行数据编码和调制应用的通信和雷达系统

测量、工业和光学应用,需要具有可编程调谐、扫描和激励功能的通用频率合成功能

在这两种情况下,更高的频谱纯度(更低的相位噪声和更高的无杂散动态范围)的趋势越来越高,同时对远程或电池供电设备的低功耗和尺寸要求也越来越高。

调制/数据编码和同步中的DDS

DDS技术起源于雷达和军事应用,其产品特性(性能改进、成本和尺寸)的一些进步相结合,使DDS技术在调制和数据编码应用中非常受欢迎。本节将讨论两种数据编码方案及其与DDS系统的建议实现。

二进制频移键控(BFSK,或简称FSK)是最简单的数据编码形式之一。数据通过在两个离散频率的一个(二进制 1 或标记)和另一个(二进制 0 或空格)之间移动连续载波的频率来传输。图4显示了数据和传输信号之间的关系。

收发器

图4.二进制 FSK 调制。

二进制 1 和 0 分别表示为两个不同的频率,f0 和 f1。这种编码方案很容易通过DDS设备实现。改变代表输出频率的DDS频率调谐字,以便从要传输的1s和0s生成f0和f1。在ADI公司完整的DDS产品系列(AD9834和AD9838—另见附录)中,用户只需将两个FSK电流频率调谐字编程到IC的嵌入式频率寄存器中即可。为了改变输出频率,专用引脚FSELECT选择包含相应调谐字的寄存器(见图5)。

收发器

图5.使用AD9834或AD9838 DDS的调谐字选择器进行FSK编码。

相移键控(PSK)是另一种简单的数据编码形式。在PSK中,载波的频率保持恒定,并且传输信号的相位变化以传达信息。可以使用多种方案来完成 PSK。最简单的方法,通常称为二进制PSK(或BPSK),仅使用两个信号相位:0°(逻辑1)和180°(逻辑0)。每个位的状态根据前一个位的状态确定。如果波的相位没有改变,则信号状态保持不变(低或高)。如果波的相位变化 180°,即相位反转,则信号状态发生变化(从低到高,或从高到低)。PSK编码可通过DDS产品轻松实现,因为大多数器件都有一个单独的输入寄存器(相位寄存器),可以加载相位值。该值直接添加到载波的相位中,而不改变其频率。更改此寄存器的内容会调制载波的相位,从而产生PSK输出。对于需要高速调制的应用,AD9834和AD9838具有成对相位寄存器,允许PSELECT引脚上的信号在预加载相位寄存器之间交替,以根据需要调制载波。

更复杂的PSK形式采用四个或八个波相。这允许二进制数据以比BPSK调制更快的每次相变速率传输。在四相调制(正交PSK)中,可能的相位角为0°、+90°、−90°和+180°;每个相移可以代表两个信号元素。AD9830、AD9831、AD9832和AD9835提供四相寄存器,可通过不断更新寄存器的不同相位偏移来实现复杂的相位调制方案。

在同步模式下使用多个 DDS 组件的 I/Q 功能

许多应用需要生成两个或多个具有已知相位关系的正弦波或方波信号。一个流行的例子是同相和正交调制(I/Q),这是一种技术,其中信号信息来自0°和90°相位角的载波频率。两个单独的DDS组件可以从相同的源时钟运行到输出信号,其相位关系可以直接控制和操纵。在图6中,AD9838器件使用一个参考时钟进行编程;相同的RESET引脚用于更新两个器件。通过这种方式,可以实现简单的I/Q调制。

必须在上电后和将任何数据传输到 DDS 之前启动复位。这将在已知相位中建立DDS输出,该相位成为允许同步多个DDS设备的通用参考角度。当新数据同时发送到多个DDS器件时,可以保持相干的相位关系,或者可以通过相位偏移寄存器预测多个DDS器件之间的相对相位偏移。AD983x系列DDS产品具有12位相位分辨率,有效分辨率为0.1°。

收发器

图6.同步两个 DDS 组件。

有关同步多个DDS器件的更多信息,请参见AN-605应用笔记:同步多个基于DDS的AD9852频率合成器。

网络分析

电子世界中的许多应用都涉及从模拟测量和光通信系统等网络收集和解码数据。通常,系统分析要求是激励具有已知幅度和相位频率的电路或系统,并通过系统分析响应信号的信号特性。

在响应信号上收集的信息用于确定关键系统信息。被测网络的范围(见图7)可能相当广泛,包括电缆完整性测试、生物医学传感和流量测量系统。无论基本要求是生成基于频率的信号并将响应信号的相位和幅度与原始信号进行比较,或者需要通过系统激励一系列频率,或者如果需要具有不同相位关系的测试信号(如在具有I/Q功能的系统中),直接数字频率合成IC对于通过简单而优雅的软件对激励频率和相位进行数字控制非常有用。

收发器

图7.使用频率激励的典型网络分析架构。

电缆完整性/损耗测量

电缆完整性测量是一种非侵入性方法,用于分析飞机布线、局域网 (LAN) 和电话线等应用中的电缆。确定性能的一种方法是查看通过电缆损失了多少信号。通过注入已知频率和幅度的信号,用户可以通过测量电缆远程部分的幅度和相位来计算电缆衰减。直流电阻和特性阻抗等参数将影响特定电缆的衰减。结果通常以低于测试频率范围内信号源 (0 dB) 的分贝表示。感兴趣的频率取决于电缆类型。DDS设备具有产生宽频率范围的能力,可以用作具有必要频率分辨率的激励。

流量计

一个相关的应用领域是管道中的水、其他液体和气体流量分析。一个例子是超声波流量测量,它基于相移原理工作,如图8所示。基本上,信号从液体流动的通道的一侧传输,传感器传感器位于另一侧以测量相位响应 - 这取决于流速。这种技术有很多变化。测试频率取决于被测物质;通常,输出信号通常在一定频率范围内传输。DDS 提供了无缝设置和更改频率的灵活性。

收发器

图8.超声波流量计。

更多信息和有用的链接

交互式设计工具

这是什么?DDS的在线交互式设计工具是用于选择调谐字的助手,给定参考时钟和所需的输出频率和/或相位。该工具显示调谐字和其他配置为一系列代码的配置位,用于通过其串行接口对器件进行编程。应用外部重建滤波器后,可以显示所选参考时钟和输出频率的理想输出谐波。ADI设计工具的链接可在交互式设计工具主页上找到。AD9834设计工具就是一个例子。

评估套件

AD983x系列产品随附功能齐全的评估套件,提供原理图和布局。评估套件中提供的软件允许用户轻松编程、配置和测试器件。

审核编辑:郭婷

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分