start()如何执行uvm_sequence

电子说

1.2w人已加入

描述

sequence

要使用start()启动一个sequence,就必须要指定相应的sequencer句柄, 另外的几个选项一般用不上 。其中

  • parent_sequence是父类sequene句柄
  • this_priority默认为1,或者父类sequence的priority
  • call_pre_post指定需不需要指定pre_body()和post_body()任务

一般来说,上面的3个选项都用不上的。

sequence

上面的代码是使用start()方法启动一个sequence的示例。可以很清楚地看到parent_seq和call_pre_post对于执行过程的影响,其作用相当于一个个的行为开关。

sequence

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分