创建agent的步骤

描述

agent(如下图)实例化并使用TLM连接driver、monitor和sequencer。

TLM

为了提供更大的灵活性,agent还包含配置信息和其他参数。UVM建议验证组件开发者创建一个agent,提供protocol-specific的激励生成、检查和覆盖。

操作模式 

一个agent有两种基本的操作模式:

Active mode,agent驱动DUT信号。这种模式要求agent实例化一个driver 和sequencer。也需要实例化monitor,用于检查目的和覆盖率收集目的。

Passive mode,agent不实例化driver 和sequencer。只实例化和配置monitor,被动地运行。

下面例子中的simple_agent类实例化了一个sequencer、一个driver和一个monitor。

TLM

调用super.build_phase()(见第8行)可以在build phase为通过uvm_field_*宏声明的UVM字段自动配置。

如果agent被设置为(is_active =UVM_ACTIVE),将通过create()来创建driver和sequencer。

create()应该总是从build_phase()方法中调用,以创建层次化的验证组件。如果agent处于active模式,则使用connect_phase()(发生在build phase完成之后)在sequencer和driver之间建立连接。





审核编辑:刘清

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分