创建Environment类

描述

uvm environment 类是一个包含多个可重用的验证组件的类,它定义了测试用例所需的验证组件的配置。例如,一个 uvm environment 可能有多个不同接口的 agent,一个公共的 scoreboard,一个功能覆盖率收集组件,以及checker。

uvm environment类继承自 uvm_component 类,它可以在 build_phase 中实例化其验证子组件,并在 connect_phase 中连接它们。

本文介绍如何将transaction-level 验证组件组装成一个可重用的验证环境(如下图所示)。通过遵循这里的guidelines,你可以确保你的验证环境是规范并且可重用

UVM

Environment 类 

environment类是可重用验证组件的顶层容器,它实例化并配置其所有的验证子组件。大多数验证重用发生在environment层面,验证工程师实例化一个environment类,并为特定的验证任务个性化配置这个environment和其中的验证子组件。例如,验证工程师可能需要改变一个新验证环境中masters 和slaves的数量: 

UVM

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 相关推荐
  • UVM

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分