我的第一个UVM代码—Hello world

电子说

1.2w人已加入

描述

你刚开始是怎么学UVM的?白皮书还是红皮书?而我是从hello world实验开始的。

就是这段:

UVM

几点说明:

  1. 为了使用UVM库里的函数和宏定义,需要先import uvm_pkg和include uvm_macros。
  2. 在testbench的顶层(module tb)里通过run_test调用了uvm_test派生出来的testcase(hello_world)。
  3. 为什么run_test能够通过字符串"hello_world"找到testcase?与宏定义`uvm_component_utils有关

仿真命令:

irun -sv -uvmhome \\

/.../ies/tools/methodology/UVM/CDNS-1.2/sv \\

hello_world.sv

仿真结果如下:

UVM

最后,再用一张图来描述一下这个hello_world的结构:

UVM

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分