我眼中UVM启动sequence的几种常见方式

电子说

1.2w人已加入

描述

第一种:start启动

最简单粗暴的一种方式,只需要在某个component,如my_sequencer、my_env甚至base_test的main_phase中启动。

task fish_env::main_phase(uvm_phase phase);
   fish_sequence seq;  //创建seq实例
   phase.raise_objection(this);
   seq = fish_sequence::type_id::create("seq");
   seq.start(fish_agt.sqr);  //将seq发送给对应的sequencer
   phase.drop_objection(this);
endtask

注:

  • 如果在sequencer中启动,唯一的区别就是start的参数变为this,即seq.start(this);
  • 通常sequence不会直接发送给sequencer,而是通过virtual_sequence和virtual_sequencer;
  • raise_objection和drop_objection往往伴随sequence的启动;

第二种:default_sequence

采用default_sequence启动,实际上还是调用了start任务,

uvm_config_db#(uvm_object_wrapper)::set(this,
                                        "env.fish_agt.sqr.main_phase",
                                        "default_sequence",
                                         case0_sequence::type_id::get());
)

或者先例化,再采用default_sequence启动:

function void fish_case0::build_phase(uvm_phase phase);
  case0_sequence cseq;
    super.build_phase(phase);
      cseq = new("cseq");
      uvm_config_db#(uvm_sequence_base)::set(this,
                                             "env.fish_agt.sqr.main_phase",
                                             "default_sequence",
                                              cseq);
endfunction

第三种:`uvm_do系列宏启动

`uvm_do(SEQ_OR_ITEM)o
`uvm_do_pri(SEQ_OR_ITEM, PRIORITY)
`uvm_do_with(SEQ_OR_ITEM, CONSTRAINTS)
`uvm_do_pri_with(SEQ_OR_ITEM, PRIORITY, CONSTRAINTS)
`uvm_do_on(SEQ_OR_ITEM, SEQR)
`uvm_do_on_pri(SEQ_OR_ITEM, SEQR, PRIORITY)
`uvm_do_on_with(SEQ_OR_ITEM, SEQR, CONSTRAINTS)
`uvm_do_on_pri_with(SEQ_OR_ITEM, SEQR, PRIORITY, CONSTRAINTS)

uvm_do的宏有多种,根据实际情况选择合适的宏。实际工作当中,使用较多的就是uvm_do_on(SEQ_OR_ITEM, SEQR),第一个参数表示要发送的sequence或者item,第二个参数表示要将此sequence或者item发送给哪个sequencer。工作中有多个seq,为了实现seq的统一调度,就会使用virtual_sequence/sequencer,在vitrual_sequence 的body中例化多个sequence,使用uvm_do_on将sequencer挂载到指定sequencer上。

`uvm_declare_p_sequencer(fish_virtual_sequencer)
task fish_virtal_seq::body();
    fish_sequence_1   fish_seq_1;
    fish_sequence_2   fish_seq_2;
    ...
    fish_sequence_n   fish_seq_n
    `uvm_do_on(fish_seq_1, p_sequencer.sqr_1);
    `uvm_do_on(fish_seq_2, p_sequencer.sqr_2);
    ...
    `uvm_do_on(fish_seq_n,p_sequencer.sqr_n);
end_task:body

如此一来,fish_seq就挂载到了fish_virtual_sequencer中某个具体的sequencer上,这是最通用的做法。但UVM的用法太灵活了,最近在工作中,我遇到,seq不会挂载到某个具体的sqr的情况,也疑惑了很久,下次和你们分享。欢迎持续关注。

第四种:uvm_create和uvm_send

class case0_sequence extends uvm_sequence #(fish_transaction)
...
  task case0_sequence::body()
    `uvm_create(f_trans)
    ...//对transaction做处理
    `uvm_send(f_trans)
   endtask

uvm_create宏的作用就是实例化transaction,实例化之后,可以对其做更多的处理,处理完毕再使用`uvm_send宏发送出去。

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分