高性能时钟有哪些特点 Xilinx 7系列时钟管理技术解析

可编程逻辑

1344人已加入

描述

导读:

业界高端FPGA的卓越性能和高口碑声誉都有哪些因素了?其中很重要的一个因素就是FPGA内部丰富的时钟资源使得FPGA在处理复杂时钟结构和时序要求的设计中具有很大优势。设计师可以更好地控制和管理时钟信号,提高时序性能、减少时钟相关问题,并满足设计的高性能和稳定性要求。

FPGA的时钟资源大概分2篇文章来介绍,第一篇主要简介FPGA时钟管理技术和了解时钟资源对FPGA开发工程师的意义。第二篇详细介绍FPGA内部时钟结构。

高性能时钟有哪些特点

现代应用对时钟的要求变得越来越复杂:

——需要极高性能的时钟信号

——支持多个时钟域

——去偏斜(de-skew)

——低抖动和精确的占空比,以维持尽可能宽的数据有效窗口

——尽可能低的系统功耗

时钟偏斜(偏移)是因为布线长度和负载不同,导致同一时钟上升沿到不同触发器的时间不同。这一时间差,即为时钟偏移。

时钟抖动是指同一时钟,相邻周期间时间不一致的现象。这一误差来源于时钟自身偏差(与噪声、干扰以及电源变化有关)。(抖动还可能出现在同一周期间,表现为占空比的改变,称为半周期抖动),综上:可以认为时钟抖动是时钟信号本身在传输过程中的一些偶然和不定的变化之总和。

Xilinx 7系列时钟管理技术

全局时钟buffers

——高扇出的时钟分配buffer。

低偏斜(skew)的时钟分配

——区域时钟路由。

时钟区域

——每个时钟区域的高度为50个CLB,并跨越芯片一半“面积” 。

时钟管理块(CMT)

——每个时钟管理块包含一个混合模式时钟管理器(MMCMs)和一个锁相环(PLL)。——高性能频率综合器、时钟去偏斜和抖动滤波

——具有高输入频率范围

可通过时钟向导进行简单的时钟设计

注:全局时钟:全局时钟是指在FPGA芯片内部传播的时钟信号,全局时钟信号在整个FPGA芯片中共享,并用于同步各个逻辑资源的操作,由于全局时钟需要在整个芯片范围内传播,因此在FPGA内部会有专门的全局时钟网络来分配和传输时钟信号。

时钟区域:时钟区域是指FPGA芯片内部的一个逻辑划分单元,其中包含了时钟资源(如时钟缓冲器、时钟分配网络等)。时钟区域用于接收和分配全局时钟信号,并为区域内的逻辑资源提供时钟驱动。

读者先了解FPGA有满足高性能时钟的丰富资源和方法,为什么FPGA有如此丰富的时钟资源并能够做到完美平衡。请参考下一篇“FPGA_Xilinx_7系列_时钟篇02_时钟结构”

FPGA怎么将外部时钟引入到内部时钟资源上

所有时钟同步设计至少需要一个外部参考时钟——许多设计需要多个时钟源

这些源需要被引入FPGA并连接到内部FPGA的专用时钟资源上

每个7系列FPGA的每个BANK都有四个可接受时钟的输入引脚

——这些输入引脚是常规的I/O引脚,与内部时钟资源有专用连接
(当不用作时钟输入时,它们可以用作常规的I/O引脚)。——每个时钟输入可以用作单端时钟输入,或者可以与相邻引脚配对形成差分时钟输入
(因此,每个BANK可以有四个单端或四个差分时钟输入)。——四个中的两个是多区域时钟功能(MRCC),另外两个是单区域时钟功能(SRCC)。

注:已知Xilinx7系列FPGA每个IO
bank有至少有4对引脚可把外部的时钟源引入到内部的专用时钟资源上,然后FPGA利用自身时钟管理技术,可以让用户使用一个外部时钟源满足所有时钟需求设计。

了解FPGA的时钟资源对开发有哪些好处

时钟是FPGA设计中的关键因素:时钟在FPGA设计中决定了设计的性能、功耗、时序等关键指标。工程师可以充分利用FPGA的时钟资源,设计出满足要求的高性能电路。

时钟约束的制定和优化:时钟约束是设计中的重要环节,它确保数据在正确的时钟边沿进行采样和稳定。了解FPGA内部的时钟架构可以帮助工程师理解时钟延迟、抖动、时钟域划分等概念,从而更好地制定和优化时钟约束,确保设计的正确性和可靠性。

时钟域划分和时序分析:在FPGA设计中,常常需要处理多个时钟域和时序问题。了解FPGA内部的时钟架构可以帮助工程师正确划分时钟域,理解时钟域间的时序关系,并进行准确的时序分析,确保设计的时序要求得到满足。

时钟树优化和布局规则:时钟树的优化对于设计的性能和功耗至关重要。了解FPGA内部的时钟架构可以帮助工程师选择合适的时钟buffer位置、引导点和布线规则,以最小化时钟树的时延、功耗和抖动,从而提高设计的性能和可靠性。

总结时钟就是动力,就是一颗芯片的心脏,不言而喻大家都能理解时钟对数字电路的重要性,本文先简要介绍FPGA的时钟管理和怎么把外部时钟源引入到内部的时钟管理资源上,后续再更新FPGA内部的时钟结构就更容易理解了。

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分