基于FPGA的SRIO协议设计

描述

本文介绍一个FPGA常用模块:SRIO(Serial RapidIO)。SRIO协议是一种高速串行通信协议,在我参与的项目中主要是用于FPGA和DSP之间的高速通信。有关SRIO协议的详细介绍网上有很多,本文主要简单介绍一下SRIO IP核的使用和本工程的源代码结构。

由于Vivado中RapidIO IP核需要付费才能使用,因此本文提供完整工程源码。

01软硬件平台

  • 软件平台:Vivado 2017.4;
  • 硬件平台:XC7K410T...;

02IP核参数配置

本工程SRIO IP核参数配置如下图所示,仅供参考:

Vivado

Vivado

Vivado

03工程源码结构

下图是SRIO工程源码结构:

Vivado

其中,

  • SRIO_Auto_rst_Mod:SRIO自动复位模块;
  • srio_request_gen:SRIO请求事务生成模块;
  • srio_response_gen:SRIO响应事务生成模块;
  • RapidIO_switch_maintr_mod & srio_quick_start:SRIO维护事务生成模块。

04实现功能

该FPGA SRIO模块采用NWRITE类型事务往DSP目的地址传输数据,每包数据大小为256字节。数据写入完毕后,通过DOORBELL事务给DSP发送数据接收中断。另外FPGA端通过RapidIO IP核m_axis_treq接口接收来自DSP的数据。

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分