Cadence数字和定制/模拟流程在Intel 18A工艺技术上通过认证

描述

 

Cadence 数字和定制/模拟流程在 Intel 18A 工艺技术上通过认证。Cadence 设计 IP 支持 Intel 代工厂的这一节点,并提供相应的制程设计套件(PDK),用于加速一系列应用的开发,包括低功耗消费电子、高性能计算(HPC)、人工智能和移动计算设计。现在,客户可以使用能够立即投产的 Cadence 设计流程和设计 IP,实现设计目标并加快产品上市。

“Intel 代工厂能够与 Cadence 扩大合作,共同为关键市场提供尖端的 Intel 18A 工艺技术,我们为此感到非常荣幸,”Intel 代工厂产品与设计生态系统副总裁兼总经理 Rahul Goyal 说道,“我们将利用 Cadence 业界一流的 IP 组合、AI 赋能的设计技术和先进的封装解决方案,助力客户采用 Intel 代工厂更先进的工艺技术,开发大批量、高性能、高能效的 SoC 产品。Cadence 支持我们的 IDM2.0 策略以及 Intel 代工厂的生态系统,是我们不可替代的合作伙伴。”

“Cadence 研发团队与 Intel 代工厂携手合作,认证了其 Intel 18A 工艺和EMIB先进封装技术的流程,为客户提供一流的 SoC(系统级芯片)和芯片系统设计能力,推动开发更先进的人工智能、高性能计算和高级移动应用,“Cadence高级副总裁兼战略及市场开发事业部总经理 Nimish Modi 表示,“我们的客户可以信心十足地进行设计,因为他们知道 Cadence 的工具和 IP 已针对 Intel 代工厂经过优化,可确保客户实现每瓦最高性能,满足更苛刻的设计要求。”

Intel 18A 数字全流程

完整的 AI 驱动的 Cadence RTL-to-GDS 流程已通过认证并优化,可与 Intel 18A 技术无缝配合,帮助客户实现功耗、性能和面积(PPA)目标。该流程包含一系列可靠且强大的解决方案,如 Cadence 的 Genus Synthesis Solution、Innovus Implementation System、Quantus Extraction Solution、Quantus Field Solver、Tempus Timing Solution、Pegasus Verification System、Liberate Characterization 以及 Voltus IC Power Integrity Solution。

Intel 18A 定制/模拟流程

Cadence Virtuoso Studio、集成的 Spectre Platform 以及 Voltus-XFi 定制电源完整性解决方案均已通过 Intel 18A 认证。

Virtuoso Studio 与 Innovus Implementation System 集成,为混合信号设计提供了一套完整的实现方法。此外,Virtuoso Studio 可在 Intel 18A 工艺上提供高效的设计和版图实现。它集成了许多先进的功能,包括:电路和良率优化、可靠性分析、自动化器件和标准单元布局与布线(P&R)、器件编辑辅助功能(包括器件阵列和填充)、集成电迁移及电压降检查、集成签核质量的寄生参数提取,以及使用 Virtuoso InDesign DRC 实现的集成式签核质量级物理验证功能。

Intel 18A 设计 IP

Cadence 为 Intel 18A 技术设计的 IP 支持高性能计算(HPC)以及人工智能/机器学习(AI/ML)应用,包括企业级 PCI Express(PCIe)6.0 和Compute Express Link(CXL);LPDDR5X/5 8533Mbps 的多标准 PHY 支持多样化的存储应用,UCIe 用于提升多芯片系统封装集成能力,以及 112G 超长距离 SerDes 用于提供卓越的比特误码率(BER)性能。



 

审核编辑:刘清
打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分