×

通过文件读写方式实现Matlab和Modelsim的联合仿真

消耗积分:0 | 格式:rar | 大小:148 KB | 2012-04-23

王兰

分享资料个

在FPGA进行算法验证的时候,经常需要输入仿真数据,这些数据可以用FPGA产生,但是如果数据产生过程很复杂的话,需要耗费很大的精力,并且产生的数据的准确性也不能保证。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(1)
发评论
kingno1 2013-08-22
0 回复 举报
很好。谢谢 收起回复

下载排行榜

全部1条评论

快来发表一下你的评论吧 !