多路选择器(MUX)功能实现Verilog HDL源代码

HDL语言及源代码

6人已加入

描述

  电子发烧友网核心提示:本例程是Verilog HDL源代码:关于基本组合逻辑功能中多路选择器(MUX)的功能实现源代码。注意:程序运行在不同软件平台可能要作一些修改,请注意阅读程序中的注释。

//-----------------------------------------------------------------------------------
// DESCRIPTION   :  Multiplexer
//            Code style: used case statement
//                  Width of output terminal: 8
//                  Number of terminals: 4
//                  Output enable active: HIGH
//                  Output value of all bits when enable not active: 0
//-----------------------------------------------------------------------------------

module mux(EN ,IN0 ,IN1 ,IN2 ,IN3 ,SEL ,OUT );

input  EN ;
input  [7:0] IN0 ,IN1 ,IN2 ,IN3 ;
input  [1:0] SEL ;

output [7:0] OUT ;
reg  [7:0] OUT ;


always @(SEL  or EN  or IN0  or IN1  or IN2  or IN3 )
begin
if (EN  == 0) OUT  = {8{1'b0}};
else
case (SEL )
0 : OUT  = IN0 ;
1 : OUT  = IN1 ;
2 : OUT  = IN2 ;
3 : OUT  = IN3 ;
default : OUT  = {8{1'b0}};
endcase
end

endmodule
打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分