二进制到格雷码转换的Verilog HDL源代码

HDL语言及源代码

6人已加入

描述

  电子发烧友网核心提示:本例程是Verilog HDL源代码:关于基本组合逻辑功能中二进制到格雷码转换的功能实现源代码。注意:程序运行在不同软件平台可能要作一些修改,请注意阅读程序中的注释。

//-----------------------------------------------------------------------------------
// DESCRIPTION   :  Bin to gray converter
//                  Input (DATA_IN) width : 4
//                  Enable (EN) active : high
//-----------------------------------------------------------------------------------


module BIN2GARY (EN ,DATA_IN ,DATA_OUT );

input EN ;

input [3:0] DATA_IN ;

output [3:0] DATA_OUT ;

assign DATA_OUT [0] = (DATA_IN [0] ^ DATA_IN [1] ) && EN ;
assign DATA_OUT [1] = (DATA_IN [1] ^ DATA_IN [2] ) && EN ;
assign DATA_OUT [2] = (DATA_IN [2] ^ DATA_IN [3] ) && EN ;
assign DATA_OUT [3] = DATA_IN [3] && EN ;
endmodule

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分