×

基于FPGA的篮球比赛计分器设计

消耗积分:2 | 格式:pdf | 大小:1416KB | 2016-02-20

窦异

分享资料个

给出了一种基于 FPGA 芯片的篮球比赛计分器的设计方法。利用 FPGA 的可编程 性,结合 VHDL 程序,缩短了研发周期,简化电子电路的设计,实现了篮球比赛计分器甲乙 两队加分、减分和分数调整的功能,编程和修改非常方便,并且易于扩展和维护。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(2)
发评论
求学者_张宏宇 2018-05-31
0 回复 举报
2积分白白浪费 收起回复
崔玉涛 2016-12-23
0 回复 举报
还好 收起回复

下载排行榜

全部2条评论

快来发表一下你的评论吧 !