×

直接数字频率合成的基本知识

消耗积分:0 | 格式:rar | 大小:0.45 MB | 2017-06-16

分享资料个

  直接数字频率合成的基本知识

  直接数字合成(DDS)是一种产生任意频率的波形与输出技术,可以很容易地转向或调整到另一个频率或相位的不连续性、相移、或其他文物。它用于无线系统中的调谐和频率控制,必须在广泛的频率范围内扫描,或支持诸如无线通信系统、频率捷变雷达和时域反射(TDR)等应用中的许多离散信道。DDS是其他合成技术,如锁相环(PLL)和一个有吸引力的替代FPGA加DAC,它提供了卓越的性能和更小的体积,更低的功耗,并在许多应用中,成本较低。

  对DDS的原理

  DDS是一种产生波形的技术,它不使用任何传统的“振荡器”方法。相反,它结合数字存储器和缓冲器,结合数字/模拟转换器输出级,合成或创建波形的振幅对时间点。此外,DDS输出不一定是正弦波,它可以是三角形、正方形或任何其他波形。值得注意的是,尽管我们认为它是一种频率合成器DDS,许多中心阶段”的概念。“这不是一个问题因为相位和频率是密切相关的;频率是时间的变化率(导数)相,相反,相位频率的积分时间。

  直接数字频率合成的基本知识

  There are three primary functional blocks in a DDS system (Figure 1), an accumulator (consisting of a phase register and summing junction), a phase-to-digital converter (a memory block also called a phase-to-amplitude converter), and a digital/analog converter (D/A converter)。 It requires a reference frequency input (usually from a precision or crystal clock) that is divided down by a scaling factor, which itself is established by a binary tuning word and is an input to the accumulator.

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !