基于linux系统实现的vivado调用VCS仿真教程

电子说

1.2w人已加入

描述

作用:vivado调用VCS仿真可以加快工程的仿真和调试,提高效率。

前期准备:确认安装vivado软件和VCS软件

VCS软件最好安装VCS-MX的版本,可以混合编译Verilog和VHDL语言

由于在linux系统中个人用户各种权限被限制,导致很多地方无法正常使用软件之间的协调工作。

为了以防万一,在此以个人用户去实现vivado调用VCS仿真。

1、 配置好个人用户下的.bashrc(只要运行cd命令即可到达该目录下(利用命令 ll –la 可查看该文件))。如图:

VCS

2、 若因为服务器上的权限问题无法正常使用vivado,则可利用makefile命令打开vivado,在makefile中添加以下命令:(注意最后面不能跟空格键)

vivado:

source /home2/qiuyquan/.bashrc &&\

/home/xjp/Xilinx/Vivado/2015.4/bin/vivado

3、 在终端make vivado命令打开vivado。如图:

VCS

4、 打开vivado后设置VCS的路径。在工具栏打开Tools→options→General→VCS Simulator install path下设置VCS的安装路径。我的安装路径如图:

5、 设置好VCS路径后开始编译VCS IP库Tools→compiles Simulator Libraries。设置编译的IP库路径,以便以后可以随时调用该IP库,然后编译。设置如图:

VCS

6、 编译完成后,打开vivado的工程。

在simulation setting→simulation设置仿真工具,选择VCS。

7、 点击run simulation。得到如下窗口。

VCS

8、 若要继续仿真,则可在如下图设置仿真时间继续仿真和停止。

在实现该过程中:主要会碰到以下问题:

1、 linux系统的权限问题

2、 vivado的license问题

3、 VCS的软件问题(注意VCS和VCS-MX是不同版本)

4、 Vivado的启动权限问题

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
评论(0)
发评论
firefire 2022-11-03
0 回复 举报
请问博主的vcsmx版本是哪里的资源? 收起回复

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分