基于Vivado设计的第三方仿真器版本说明

FPGA/ASIC技术

190人已加入

描述

本文列出了能够与 Vivado 设计套件联用的支持性第三方仿真器。

这些也在随该软件一起发布的“Vivado 设计套件用户指南:版本说明、安装与许可”(UG973) 中列出。
 

请参阅“架构支持与需求”>“兼容的第三方工具”部分。

这些兼容版本向后兼容。Xilinx 建议用户运行最新版本的仿真器。

Vivado Design Suite 2017.2

Mentor Graphics ModelSim SE/DE/PE (10.5c)

Mentor Graphics Questa 高级仿真器 (10.5c)

Cadence Incisive 企业仿真器 (IES) (15.20.014)

Synopsys VCS 与 VCS MX (L-2016.06-SP1)

Aldec Active-HDL (10.4) Aldec Riviera-PRO (2016.10)

Vivado Design Suite 2017.1

Mentor Graphics ModelSim SE/DE/PE (10.5c)

Mentor Graphics Questa 高级仿真器 (10.5c)

Cadence Incisive 企业仿真器 (IES) (15.20.014)

Synopsys VCS 与 VCS MX (L-2016.06-SP1)

Aldec Active-HDL (10.4) Aldec Riviera-PRO (2016.10)

Vivado Design Suite 2016.4

Mentor Graphics ModelSim SE/DE/PE (10.5c)

Mentor Graphics Questa 高级仿真器 (10.5c)

Cadence Incisive 企业仿真器 (IES) (15.20.005)

Synopsys VCS 与 VCS MX (L-2016.06)

Aldec Active-HDL (10.3) Aldec Riviera-PRO (2016.06)

Vivado Design Suite 2016.3

Mentor Graphics ModelSim SE/DE/PE (10.5c)

Mentor Graphics Questa 高级仿真器 (10.5c)

Cadence Incisive 企业仿真器 (IES) (15.20.005)

Synopsys VCS 与 VCS MX (L-2016.06)

Aldec Active-HDL (10.3)Aldec Riviera-PRO (2016.06)

Vivado Design Suite 2016.2, 2016.1

Mentor Graphics ModelSim SE/DE/PE (10.4d)

Mentor Graphics Questa 高级仿真器 (10.4d)

Cadence Incisive 企业仿真器 (IES) (15.10.013)

Synopsys VCS 与 VCS MX (K-2015.09)

Aldec Active-HDL (10.3) Aldec Riviera-PRO (2015.10)

Vivado Design Suite 2015.4, 2015.3

Mentor Graphics ModelSim SE/DE/PE (10.4b)

Mentor Graphics Questa 高级仿真器 (10.4b)

Cadence Incisive 企业仿真器 (IES) (14.20.006)

Synopsys VCS 与 VCS MX (J-2014.12-SP2)

Aldec Active-HDL (10.2 SP2) Aldec Riviera-PRO (2015.06)

Vivado Design Suite 2015.2, 2015.1

Mentor Graphics ModelSim SE/DE/PE (10.3d)

Mentor Graphics Questa 高级仿真器 (10.3d)

Cadence Incisive 企业仿真器 (IES) (14.10.011)

Synopsys VCS 与 VCS MX (I-2014.03-SP1)

Aldec Active-HDL (10.1 SP1) Aldec Riviera-PRO (2015.02)

Vivado Design Suite 2014.4

Mentor Graphics ModelSim SE/DE/PE (10.3b)

Mentor Graphics Questa 高级仿真器 (10.3b)

Cadence Incisive 企业仿真器 (IES) (13.20.005)

Synopsys VCS 与 VCS MX (I-2014.03)

Aldec Active-HDL (9.3 SP1)Aldec Riviera-PRO (2014.02)

Vivado Design Suite 2014.3

Mentor Graphics ModelSim SE/DE/PE (10.3b)

Mentor Graphics Questa 高级仿真器 (10.3b)

Cadence Incisive 企业仿真器 (IES) (13.20.005)

Synopsys VCS 与 VCS MX (I-2014.03)

Aldec Active-HDL (9.2 SP1) Aldec Riviera-PRO (2014.02)

Vivado Design Suite 2014.2, 2014.1

Mentor Graphics ModelSim SE/DE/PE (10.2a)

Mentor Graphics Questa 高级仿真器 (10.2a)

Cadence Incisive 企业仿真器 (IES) (12.2-016)

Synopsys VCS 与 VCS MX (H-2013.06-SP1)

Aldec Active-HDL (9.3 SP1) Aldec Riviera-PRO (2014.02)

Vivado Design Suite 2013.4

Mentor Graphics ModelSim SE/DE/PE (10.2a)

Mentor Graphics Questa 高级仿真器 (10.2a)

Cadence Incisive 企业仿真器 (IES) (12.2-016)

Synopsys VCS 与 VCS MX (H-2013.06)

Aldec Active-HDL (9.2 SP1) Aldec Riviera-PRO (2013.06)

Vivado Design Suite 2013.3

Mentor Graphics ModelSim SE/DE/PE (10.2a)

Mentor Graphics Questa 高级仿真器 (10.2a)

Cadence Incisive 企业仿真器 (IES) (12.2-016)

Synopsys VCS 与 VCS MX (H-2013.06-3)

Aldec Active-HDL (9.2) Aldec Riviera-PRO (2013.02)

Vivado Design Suite 2013.1, 2013.2

Mentor Graphics ModelSim SE/DE/PE (10.1b)

Mentor Graphics Questa 高级仿真器 (10.1b)

Cadence Incisive 企业仿真器 (IES) (12.2)

Synopsys VCS 与 VCS MX (G-2012.09)

Aldec Active-HDL (9.2) Aldec Riviera-PRO (2012.10)

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分