一种可复用的高速SPI总线的设计

FPGA/ASIC技术

190人已加入

描述

1 引言

长期以来,外围设备与主机CPU速度之间的不匹配始终困扰着人们,影响了计算机系统更迅速的发展,成为系统基本I/O的瓶颈问题。随着计算机处理能力及存储规模的迅速增长,这个问题表现得更加突出。为此人们采取了各种软、硬件的方法,不断地改善着CPU与I/O设备之间的接口性能。

目前,嵌入式系统已经广泛应用于通信、消费类电子产品等许多领域。然而,在许多应用中,处理器的接口问题依然是制约系统性能的瓶颈。对于给定的设计,设计者面对这些纷繁芜杂的接口标准选择的余地并不大。他们一般根据系统所需的成本及功能选择合适的标准产品,这可能导致接口标准冲突和引起互用性问题;或许设计者可能重新选择与接口兼容的标准器件,但可能会造成不能满足功能需要或系统的成本要求等。

在过去几年里,用于消除IC、电路板和系统之间数据传输瓶颈的接口标准层出不穷,为通信系统器件所提供的接口技术种类繁多。在数字系统设计中解决接口和互用性问题显得尤为重要, FPGA技术的迅速发展使得接口问题有了好的解决方案。例如,现有的高性能接口IP及高速物理I/O的FPGA,可满足10Gb/s以上数据通道的通信系统的要求;可以用FPGA实现接口不兼容器件间的通信问题。因此本文将提出一种新的基于FPGA 的SPI 接口设计方法。

SPI(Serial Peripheral Interface)串行外设接口总线[1]是一种同步全双工串行通信接口总线,由于其连线简单,使用起来非常方便,故得到广泛应用。在很多新型器件如LCD模块、FLASH、EEPROM存储器、数据输入、输出设备上都采用了SPI接口。但在实际开发应用中,若主控制器无SPI接口或需要与多个具有SPI接口的外设通信,就要使用主控制器的I/O
口通过软件来模拟,这就在很大程度上限制了其应用给数据传输带来不便。在FPGA技术迅速发展的时代,解决这个问题最方便的办法就是集成一个SPI核到芯片上。

这里根据业界通用的SPI总线的标准,设计一种可复用的高速SPI总线。设计过程中很多变量都采用参数形式,具体应用于工程实践时根据实际需要更改参数即可,充分体现了可复用性。

2 SPI 总线原理

SPI 总线由四根线组成:串行时钟线(SCK),主机输出从机输入线(MOSI),主机输入从机输出线(MISO),还有一根是从机选择线(SS),它们在与总线相连的各个设备之间传送信息,其连接方式如图1。

时钟

SPI 总线中所有的数据传输由串行时钟SCK来进行同步,每个时钟脉冲传送1 比特数据。SCK 由主机产生,是从机的一个输入。时钟的相位(CPHA)与极性(CPOL)可以用来控制数据的传输。CPOL=“0”表示SCK 的静止状态为低电平,CPOL =“1”则表示SCK 静止状态为高电平。时钟相位(CPHA)可以用来选择两种不同的数据传输模式。如果CPHA =“0”,数据在信号SS 声明后的第一个SCK 边沿有效。而当CPHA=“1”时, 数据在信号SS声明后的第二个SCK 边沿才有效。因此,主机与从机中SPI 设备的时钟相位和极性必须要一致才能进行通信。

SPI 可工作在主模式或从模式下。在主模式下,每一位数据的发送/接收需要1 次时钟作用;而在从模式下, 每一位数据都是在接收到时钟信号之后才发送/接收。1个典型的SPI系统包括一个主MCU和1个或几个从外围器件。

3 设计原理

本系统用硬件描述语言verilog描述,是可IP复用的通用结构。

3.1 典型应用

SPI 接口的典型应用如图2所示,微处理器与从设备通过发送指令的方式实现双向数据传输。

时钟

3.2 模块设计

根据SPI 总线的原理,本设计的SPI Master同SPI协议兼容,在主机侧的设计相当于wishbone总线[2]规范兼容的slave设备,总体架构可分为以下3个功能模块[3]:Clock generator、Serial interface、Wishbone interface如图3所示。

时钟

3.2.1 时钟产生模块SPI-clgen设计
SPI时钟分频模块中的时钟信号的来源是外部系统提供的时钟clk_in,此时钟的频率高于SPI总线使用的时钟频率,模块会根据各个不同接口的时钟分频因子寄存器,产生相应的时钟输出信号clk_out作为串行时钟。由于SPI没有应答机制,所以传输时对时序的要求就相当严格,为了能够保证时序的可靠性,特别设计了一个无论对于奇分频还是偶分频都异常可靠的时钟生成模块产生传输所需要的串行时钟。

此模块重点考虑了奇分频的情况,为了节省资源,对奇分频的实现方式做了改动同时也能实现偶分频的情况,经过这样设计的时钟分频模块能保证分频出可靠的时钟信号。对输入主时钟的同步奇整数分频,可以简单地用一个Moore机来实现。比如,5分频的状态图如图4所示,编码采用Moore机而非Mealy机,虽然增加了状态数,但增加了可靠性。

时钟

Master核系统输入时钟clk-in通过divider分频产生clk-out,通过改变divider的值,可以实现任意分频的时钟输出[4]。其频率表达式如下:

时钟

用verilog语言描述时钟产生模块,用ISE综合后,其生成电路如图5所示。

3.2.2 串行接口模块SPI-shift设计[5]
数据传输模块是SPI的核心模块。此模块负责把并行进来的数据串行传出,串行进来的数据并行传出。对于并行进来的数据位宽比较长,比如128位的数据时,为了提高传输的速度,本文设计工作中牺牲了资源改进了以前的保守的SPI模块。SPI Master核在主机侧作为slave设备接收数据,同时作为master设备发送数据。此模块verilog代码经ISE综合后如图6所示。

3.2.3 顶层TOP模块
本文在分析协议的基础上建立了高速可复用SPI总线的基本结构,包括时钟生成模块,数据传输模块,并用上层TOP模块调用底层的两个模块。顶层模块的重要作用就是让分模块能够顺利的运作起来。所以此SPI核的顶层模块要写入控制字,通过状态机控制调用时钟生成模块和数据传输模块正常运行。其经ISE综合后如图7所示。

4 仿真与验证

将用verilog 描述好的SPI 接口电路用ISE进行综合,然后用modelsim 软件进行仿真[6]。在建立测试平台时,首先要建立模拟Wishbone协议的master模块,同时建立模拟SPI协议的slave模块,再将接收/发送数据和地址进行比较、校验。SPI-topTestbench总体架构如图8所示。

时钟

为了简单仿真8bit数据传输[7],首先进行复位,然后设置寄存器,再进行寄存器校验,无误之后进行8bit数据传输,在tx上升沿发送数据,rx下降沿接收数据,仿真波形如图9所示。同理可以仿真64bit、128bit等数据传输仿真波形。

时钟

用ISE软件进行编译,将生成的网表文件通过JTAG下载到xilinx 公司的spartan3 系列FPGA运行,在ISE 的辅助分析下得到了正确的结果。

5 结束语

随着半导体技术的进步,FPGA 的价格越来越便宜, 工作频率越来越高,使用FPGA 实现SPI 通信接口是切实可行的。

由于SPI对传输时序要求非常严格,所以本文工作中设计了一种比较可靠,稳定的时钟生成模块,它对于奇偶分频的情况分别考虑,从而避免了以往SPI总线中对系统时钟奇分频时会出现分频出的时钟不稳定的问题。数据传输模块采用较简洁的并串互转结构,一次最多可传输128位,速度是遵守SPI协议的同类器件里较快的。并且从128位到8位可选具体一次要传输多少位,有别于以往一次传输的位数为定值的情况。

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分