74ls194引脚图及功能_74ls194功能表_74ls194应用电路

芯片引脚图

686人已加入

描述

移位寄存器简介

在数字电路中,移位寄存器(英语:shift register)是一种在若干相同时间脉冲下工作的以触发器为基础的器件,数据以并行或串行的方式输入到该器件中,然后每个时间脉冲依次向左或右移动一个比特,在输出端进行输出。这种移位寄存器是一维的,事实上还有多维的移位寄存器,即输入、输出的数据本身就是一些列位。实现这种多维移位寄存器的方法可以是将几个具有相同位数的移位寄存器并联起来。

74LS194介绍

移位寄存器是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。74LS194是一个4位双向移位寄存器,最高时钟脉冲为36MHZ,其逻辑符号及引脚排列如图1所示:

74LS194引脚功能

74ls194

图1 74 LS194逻辑符号及引脚排列

其中:D0~D1为并行输入端;Q0~Q3为并行输出端;SR--右移串引输入端;SL--左移串引输入端;S1、S0-操作模式控制端; -为直接无条件清零端;CP-为时钟脉冲输入端。

  74ls194结构框图

74ls194

  74ls194电气特性:

74ls194

  74ls194逻辑图

74ls194

  74ls194功能表:

74ls194

  H-高电平 L-低电平 X-任意电平 ↑-低到高电平跳变

  a-d-A-D 端的稳态输入电平

  QA0-QD0-规定的稳态条件建立前QA-AD的电平

  QAn-QDn-时钟最近的↑前QA-AD的电平

注:X表示任意值

  74ls194时序图

74ls194

  74ls194推荐工作条件

74ls194

74LS194应用

1.用74LS194构成8位移位寄存器

电路如图2所示,将芯片(1)的Q3)接至芯片(2)的SR,将芯片(2)的Q4接至芯片(1)的SL,即可构成8位的移位寄存器。

74ls194

2.74LS194构成环形计数器

把移位寄存器的输出反馈到它的串行输入端,就可以进行循环移位,如图3所示。设初态为Q3Q2Q1Q0=1000,则在CP作用下,模式设为右移,输出状态依次为:

74ls194

上图电路是一个有四个有效状态的计数器,这种类型计数器通常称为环形计数器。同时输出端输出脉冲在时间上有先后顺序,因此也可以作为顺序脉冲发生器。

  74ls194相关文章:

  74ls194实现环形计数器

  74ls194控制8个流水灯的设计

  移位寄存器74ls194_74ls194逻辑功能表

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分