模5计数器设计步骤及程序解析

应用电子电路

804人已加入

描述

本文为大家介绍模5计数器设计步骤及程序解析。

工作原理

1. ISE软件是一个支持数字系统设计的开发平台。

2. 用ISE软件进行设计开发时基于相应器件型号的。 注意:软件设计时选择 的器件型号是与实际下载板上的器件型号相同。

3. 模-5计数器就是从0到4重复计数。也就是说,它一共要经历5个状态,输出从000变到100然后再回到000。

模5计数器设计步骤

1.进入modelsim后点击file ----》 new ----》project.之后出现一个建立工程的对话框,键入工程名后,再在下面路径添加一个自己的文件夹。确认后会弹出一个对话框,点击creat New File,在工程下建立两个文件分别功能模块文件的测试激励模块文件。在弹出的窗口中输入项目的名称和存储位置。将文件储存在桌面的临时文件夹中,相关截图如下:

计数器

建立Verilog HDL文件:在“File—》New”的窗口中选择建立Verilog HDL文件。

计数器

可以在File name中输入文件名,再次单击Next后,接着选择实验板的型号。

计数器

2.编译源文件代码:选择“Processing-》Start Compilation”或点击快捷键开始编译,编译通过后可以查看生成的Report和运行进程。如图:

计数器

3.编译,如果程序出错对程序进行修改。之后再编译,编译成功后点击simulate进行仿真。保存文件后,再次选择“File—》New”,新建仿真波形:

计数器

4.观察仿真结果是否符合事先的设计。不符合继续修改程序。 可参考基本操作步骤如下:

计数器

设置仿真逻辑电平变化:设置输入时钟信号cp的周期为10ns

计数器

仿真形式的选择:在“Assignment-》Setting-》stimulation setting“中选择功能仿真(因为功能仿真比时序仿真更加有效和规范):

计数器

执行仿真:点击仿真快捷键,进行仿真,得到仿真图形,以验证代码是否正确,然后保存文件。

模5计数器设计程序

计数器

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分