干货!全面解析中国半导体产业前景

半导体新闻

65人已加入

描述

全球半导体超级周期体系:“硅片剪刀差”+“第四次硅含量提升”已经得到充分验证,并在2018年将持续加强。

同时,基于人工智能、汽车电子、物联网、工业控制、5G通信等创新还在持续,从全球龙头近期财报及展望来看,全球半导体产业第四次转移大势所趋,中国半导体产业崛起天时、地利、人和!

从产业链看半导体超级周期

根据世界半导体贸易协会(WSTS)统计,2016年全球半导体市场规模同比增长1.1%达3389亿美元,其中集成电路市场规模为2767亿美元,占比达81.6%。进一步看细分占比情况,微处理器、逻辑芯片、存储器、模拟电路市场规模分别占半导体市场的19%、28%、22%、13%。

从近期世界半导体贸易协会(WSTS)及美国半导体行业协会(SIA)的公布来看,2017年全球半导体产值增速连续上修,由上半年的11%上修至17%,存储器市场增速更是上修至50%。我们预计2017年全球半导体市场规模大概率超4000亿美元,存储器产值有望超1100亿美元,成为占比最高的集成电路细分品种。

处理器

从产业链的角度来看,半导体核心产业链主要有设计、制造和封测三个环节,形式有IDM和垂直分工两种。

1、芯片设计:是芯片的研发过程,是通过系统设计和电路设计,将设定的芯片规格形成设计版图的过程;芯片设计公司对芯片进行寄存器级的逻辑设计和晶体管级的物理设计后,将不同规格和效能的芯片提供给下游厂商。

2、晶圆制造:晶圆制造指在制备的晶圆材料上构建完整的物理电路。过程包括掩模制作、切片、研磨、扩散、光刻、刻蚀、离子注入等核心工艺。

3、封装测试:是将生产出来的合格晶圆进行切割、焊线、塑封,使芯片电路与外部器件实现电气连接,并为芯片提供机械物理保护,并利用集成电路设计企业提供的测试工具,对封装完毕的芯片进行功能和性能测试。

处理器

半导体支撑产业主要包括半导体设备与半导体材料:

1、半导体设备:半导体设备主要应用于晶圆制造和封装测试环节。由于半导体加工工序多,因此在制造过程中需要大量的半导体制造设备。例如光刻机、刻蚀机、化学气相沉积等设备。我们会在第七章设备环节详细介绍。

2、半导体材料:半导体材料种类繁多,衬底(硅片/蓝宝石/GaAs 等)、光刻胶、电子气体、溅射靶材、CMP 材料、掩膜版、电镀液、封装基板、引线框架、键合丝、塑封材料等。还需要光刻胶、特种气体、刻蚀液、清洗液等众多的材料。

处理器

从产值分布来看,电子设备及半导体产业产值呈倒金字塔分布,由下游电子产品→半导体器件芯片→半设备→材料,产值越来越小,技术难度及行业壁垒越来越大。

2017半导体开启超级景气周期,截止17年12月全球半导体销售额连续19个月环比增长,景气度创历史新高。

根据WSTS最新发布数据,2017年全球半导体销售额同比增长21.6%至4122亿美元,历史首次突破四千亿美元!其中Q4及12月同比增速仍保持在22%以上,尚未出现增速下行情况,我们预计2018年全球半导体仍将维持高景气度。

根据Garnter 18年1月最新报告,再度上修18年全年销售额至4510亿美元。本次上修较其前次预测提升236亿美元,并且认为存储芯片、FPGA、光电、ASIC和ASSP将成为18年全球半导体销售额提升的主要驱动因素。

处理器

全球半导体营收于2000年时超越2000亿美元门槛,历经10年时间,于2010年达3000亿美元纪录,如今随着半导体应用更为广泛,只花七年就可望再增加千亿美元规模。

同时SEMI统计17年全球半导体设备支出达到570亿美元,较上半年的预测金额增加20.7%,同比增长达38%,主要动能来自存储器与晶圆代工增加投资,明年支出预估也从500亿美元上修至630亿美元,可望连续2年创新高纪录。目前全球晶圆厂预测追踪中的晶圆厂设厂计划,2017年有62座,2018年有42座,其中许多会在大陆,带动大陆近2年设备支出将大幅成长。

处理器

根据WSTS,全球半导体设备季度销售额连续七个季度实现同比增长。SEMI统计北美半导体设备月度销售额亦连续11个月同比增长,17年11月单月同比增长27.3%至20.5亿美元。

处理器

“硅片剪刀差”

“硅片剪刀差”是本轮半导体景气周期核心驱动因素,愈演愈烈。从目前来看剪刀差将愈演愈大,硅片大厂sumco等2018年报价提高,且2019年还会继续涨价;第三大厂环球晶2019年产能被包完,2020年产能已有大单,剪刀差至少持续至2020年。

硅片是半导体最核心、成本占比最高的材料,由于对纯度要求超高,因此行业壁垒极高、呈现高度垄断格局。目前以日本信越半导体、胜高科技,***环球晶圆、德国siltronic、韩国SK siltron为代表的五家公司掌握90%以上的市场份额。

2016-2017年剪刀差的持续扩张,半导体硅片涨价对半导体芯片的价格传导、引发行业晶圆产能降阶抢夺,引发整个半导体产业链传导作用意义深远,很难去估量对行业所带来的巨变,因为这个剪刀差形成的时间周期从2008年以来,酝酿时间长达8年,并且从硅片涨价到传导半导体晶圆厂,从12寸蔓延到6寸,时间周期仅有3个月,剪刀差的开口扩张速率上行迅猛。

高性能运算、物联网、汽车电子使得半导体需求持续提升,中国产能未来三年持续投放以及摩尔定律放缓多重因素叠加,持续性以及幅度将更强。在全球产业供需紧张、中国新产能持续扩产情况下,硅片剪刀差将有加速扩大的趋势。

处理器

目前从产业链反馈情况来看,硅片缺口在继续扩大!SUMCO反应客户要货的紧度变强很多,缺口比预期严重,原本预期今明两年12吋各涨20%的目标将要大幅上修。而SEMI统计12寸硅片上半年累计涨幅20%,下半年涨价有望继续上涨20-30%。超出我们此前预测的H1涨幅14.3%、H2涨幅20.9%。SEMI预测,明年12寸硅片将较今年再涨30-40%,而这也大幅超出了我们对于2018年涨幅的预测。

处理器

而从各家扩产计划公布情况来看,12寸片目前仅有SUMCO与Siltronic初步发布2019年扩产计划:

1、SUMCO:8月8日Q2业绩发布会上表示计划投资436亿日元(约3.8亿美元)在佐贺扩产,增产特别之处在于未建置长晶炉新线,仅增设表明研磨、洗净设备线与无尘室。预计19H1达产后增加110 kw/m的产能;

2、Siltronic:10月26日在Q3业绩发布上发布扩产计划进行70 kw/m的扩产,扩产周期15-18个月,预计19年中期达产,对应资本开支约1.4亿欧元;

3、8寸片方面,目前扩产计划主要有合晶郑州项目(20万片/月)和GWC&Ferrotec合作项目(一期15万片/月、满产45万片/月),按照进度最快也是在2019年达产。

龙头硅片厂扩产计划与我们此前判断一致,即新产能最快也要在2019年才能释放,且各家基本保持谨慎扩产态度,扩充产能主要为弥补14/16 nm先进制程所需晶圆缺口。也正因为如此,SUMCO、信越、环球晶等龙头股价在8月8日对SUMCO扩产信息错误解读大跌之后,继续迎来强势上扬,各家最新财报对未来两年硅片展望仍是供不应求。

具体到产能数据,17-19年保持缺口是确定性事件。我们结合各厂产能数据、扩产计划进行统计,按照19年扩产项目满产情况,保守估计17-19年缺口继续放大,月缺口至少达19、37、44万片!

重新回顾硅片涨价受益品种传导路径:当硅片涨价传导到半导体晶圆制造环节中,前端和后端都会受益,但是受益的时间和路径程度不一。

我们认为,在涨价的初期,硅片涨价最先传导到前端制造环节,再依次传导到后端制造的封装和测试环节,看好存储器、晶圆前端制造、易耗品,以存储器为代表的通用型芯片将成为最受益品种。

处理器

为什么最看好存储器?评判本轮涨价品种谁是最大赢家,需要从需求周期、涨价周期和中国大陆12寸晶圆新增产能建设周期进行综合评估。最受益品种的关键在于需求和供给满足完整全闭环条件,存储器的必需性、通用性决定了厂商能够将上游晶圆制造成本上行有效传导给下游终端厂商、客户,而高性能需求升级、服务器升级、物联网、汽车电子等新需求的出现无疑再添一把柴,加速缺货和涨势的形成。

以本轮最为受益的DRAM和大陆唯一深度参与的NOR Flash(也有较大涨幅)为例进行具体说明:

1、DRAM:需求周期,AI高性能运算机组、数据中心服务器、“吃鸡”等游戏引发PC内存升级等刚性需求和供给形成完整全闭环;涨价周期,硅片涨价→三星/美光/海力士/南亚制造成本提升→内存颗粒涨价→模组厂成本提升→内存条涨价。

2、NOR Flash:需求周期,AMOLED、双摄、TDDI、智能声学四大新增需求和供给形成完整全闭环,并且贯穿2017-2018年整个涨价周期;存储器产商从消费级市场切入汽车+工业级市场,构成第二个新增需求和供给的全闭环,汽车市场非常像2009-2010年智能手机开始普及的时候;涨价周期,硅片涨价引发的晶圆产能紧张进而涨价,使得存储器缺货紧张,并形成涨价需求。同时晶圆制造成本的涨价可以传导消费级芯片厂商、工控/汽车芯片厂商与终端手机厂商,涨价周期贯穿于本轮硅片涨价周期。

处理器

AI、物联网、汽车电子引领第四轮硅含量提升

我们结合半导体硅含量提升趋势图与60年全球半导体产值对过去的三轮提升周期进行回顾。

我们可以清晰看到,从第一款半导体集成电路芯片发明以来,直接推动着信息技术发展,我们一共经历着3个完整的发展周期,目前正在进入第4个发展周期。

1、第一波:1970s-1990s,全球半导体的硅含量从6%提高到23.1%,下游需求推动为个人电脑、大型机等,随后进入稳定期。这一时期,全球半导体销售产值从5亿美金到首次突破1000亿美金大关;

2、第二波:2000-2008,全球半导体的硅含量从17.3%提高到22.4%,下游需求推动的力量是笔记本、无线通讯以及家电等。这一阶段,全球半导体销售产值从1750亿美金增长到2500亿美金;

3、第三波:2010年到2016年,全球半导体硅含量从21.1%提高到26.4%,下游需求推动的力量是智能手机为代表的移动互联网产品,随后进 入稳定期,在这一时期,全球半导体销售产值从2180亿美金增长至3300亿美金;

4、第四波:2017-2022年我们即将进入第四个全球半导体硅含量提升周期,这次硅含量的提升将突破30—35%,下游需求的推动力量是汽车、AI、物联网、5G等,我们预计未来全球半导体销售产值将突破5000亿美金大关。

处理器

全球半导体硅含量提升VS全球半导体产值:我们统计1965—2017年全球半导体产值和全球半导体硅含量的关系,可以更加清晰看到,半导体硅含量提升驱使着全球半导体高速成长。

处理器

存储器芯片是全球半导体硅含量提升周期的抓手:我们统计了1990-2016年以来,全球存储器芯片销售产值和全球半导体硅含量的关系,通过下图,我们可以认为存储器芯片是全球半导体硅含量提升周期的抓手,每一次硅含量提升周期的上升周期和下降周期基本和全球存储器产值相对应。

处理器

存储器:国之重器,国家战略

存储器(Memory)是现代信息技术中的记忆设备,用来存放程序和数据。存储器广泛应用于计算机、消费电子、网络存储、物联网、国家安全等重要领域,是信息系统的基础核心芯片。

存储器种类很多,根据信息保存方式的不同可将存储器分为易失性存储器和非易失性存储器,目前市场上主流存储器有:Flash和DRAM,Flash可分为Nor Flash和Nand Flash。

处理器

在2016年底中国存储峰会上,大基金再次强调:中国的存储产业跟国际上还有很大的差距,尤其在存储芯片方面差距更大。中国这几年进口集成电路超过了石油,2015年集成电路进口额达到2307亿美元,其中存储进口占整个集成电路进口的1/4。中国有这么大的存储市场,如何发展中国存储产业,这是摆在我们产业界最大的课题。我们一直在呼吁n 要把存储器作为国家战略,因为中国有这么大的市场需求;从信息安全、产业安全角度考虑,我们也应该把存储器作为国家战略、要建设存储器产线。

存储器是半导体产业的重要领域,占比达到30%。从WSTS的统计数据来看,2016年全球各类半导体产品的销售额占比中,Memory+NAND Flash的累计占比达到了32.1%。

(1)中国拥有着庞大的存储器需求市场,巨大的供需缺口意味着广阔的进口替代空间;

(2)作为核心的半导体器件,存储器芯片的国产化之路也是政府在信息安全自主可控政策的重要实践领域;

(3)参考日本、韩国半导体崛起的经验,存储器领域也是最佳切入点。

根据赛迪顾问数据,2015年,中国大陆半导体存储器的市场规模2842.7亿人民币,全球市场份额达到54.1%,而中国目前存储器几乎全部依赖进口。在企业级存储、消费级存储容量快速提升等因素驱动下,未来5年以上将保持超高成长性。

中国存储器市场进口替代空间大。“大数据”和“云计算”时代的到来将给存储器带来几何式的增长需求,中国作为全球电子产品的主要加工地,同时拥有着全球最多的网民,对于存储器的需求量巨大。根据中国海关进出口统计数据,中国存储器的进口量不断增加,占据了全部集成电路进口额的三分之一,2015年存储器进口占比27%,2016年为28%。我们预计随着今年DRAM及NAND、NOR价格大幅上涨,超过30%是大概率事件。

大力发展存储器也是信息安全和产业安全的战略需要,唯有在存储器等核心芯片领域具备自主可控能力,才能确保国防及信息安全。而参考日本、韩国半导体崛起的经验,存储器领域也是国家半导体产业崛起的最佳切入点。1980年代开始,日本厂商以大量投资、大量生产的策略,通过采取基于DRAM的IDM商业模式在全球半导体市场获得了领先地位;韩国的半导体企业,在政府政策和大财团的推动下,集中所有的资源对储存芯片行业进行了投资,最终从日本企业手里拿到了世界第一的宝座。

GPU:深度学习训练首选方案

GPU(graphics processing unit,图形处理器)又被称为显示芯片,多用于个人电脑、工作站、游戏主机以及移动设备(智能手机、平板电脑、VR设备)上专门运行绘图运算的微处理器。

结构决定GPU更适合并行计算,GPU与CPU主要区别在于片内的缓存体系和数字逻辑运算单元的结构差异:GPU核(尤其ALU运算单元)的数量远超CPU但是结构较CPU简单,因此被称为众核结构。众核结构非常适合把同样的指令流并行发送到众核上,采用不同的输入数据执行,从而完成图形处理中的海量简单操作,如对每一个顶点进行同样的坐标变换,对每一个顶点按照同样的光照模型计算颜色值。GPU利用自身处理海量数据的优势,通过提高总的数据吞吐量(Throughput)来弥补执行时间(Latency)长的缺点。

矢量化编程与强大并行计算能力相契合,GPU成为深度学习模型训练首选方案。矢量化((如矩阵相乘、矩阵相加、矩阵-向量乘法等)编程是提高算法速度的一种有效方法,深度学习中反向传播算法、Auto-Encoder、卷积神经网络等都可以写成矢量的形式。CPU处理矢量运算方式为展开循环的串行执行,而GPU 的众核体系结构包含几千个流处理器,可将矢量运算并行执行,大幅缩短计算时间。利用GPU对海量数据进行训练,所耗费的时间大幅缩短,占用的服务器也更少。

GPU行业格局:由于AMD在通用计算及生态圈构建的长期缺位,深度学习GPU加速市场目前呈现NVIDIA一家独大的局面。根据Mercury Research的统计,目前在“PC+工作器+服务器”独立GPU领域NVIDIA市占率接近70%。直到今年AMD才正式推出Radeon Instinct系列产品,主要面向深度学习和HPC数据中心应用。

MCU:万物互联核“芯”所在

MCU是嵌入式应用的最核心器件,是万物互联智能终端的“中枢神经”。MCU(Microcontroller Unit;微控制单元)又被称为单片机,是将CPU、存储器单元(RAM/ROM/Flash)、计数器、A/D转换以及周边接口等整合在单一芯片上,形成芯片级的微型计算机。MCU凭借其高性能、低功耗、可编程、灵活性等特点被广泛应用于各个领域,包括可穿戴设备、家电、汽车电子、无线网络等各类物联网应用。物联网时代之下,硬件设备智能化以及复杂程度均迎来提升,采用MCU对传感数据进行传输、处理并下达控制指令的需求也因此大幅增加。

MCU可按照总线宽度、用途、存储器类型等标准进行分类。按总线或数据寄存器宽度可分为1位、4位、8位、16位、32位甚至64位单片机,位数越高单片机的计算处理性能也相对更高,具体不同位数MCU的主要用途可见下表所列;按照用途可分为通用型和专用型;按照存储器类型则可以分为无片内ROM型和带片内ROM型。

如果说传感器在物联网中扮演了感官的角色,那么MCU则可以被认为是物联网无数终端节点的中枢神经,负责对传感器捕获的信息进行处理、计算和下达控制指令。我们认为负责控制执行功能的MCU作为物联网核“芯”所在,随着物联网浪潮迭起将迎来需求和成长空间的极大爆发。

MCU市场空间及行业格局:

MCU作为物联网核心芯片,未来四年有望继续保持稳定增长。根据IC Insights的预测,2015年全球MCU市场空间达到159亿美元,出货量达到221颗,平均销售单价(ASP)约为0.72美元;预计2020年能够到达209亿美元市场空间、267亿颗出货量,平均销售单价有望提升至0.78美元。

具体看MCU细分结构:32位MCU经过十多年年发展渗透率持续提升,市场份额由2005年的28%提升至2015年的54%,并且预计在2020年达到66%占比。

MCU市场份额及排名在2016年发生较大变化,原因主要在于近两年国际大厂的合并,例如cypress以40亿美元收购spansion、NXP2015年以 118亿美元收购freescale以及Microchip在2016年完成对Atmel的收购。从IC Insights统计来看,目前以NXP、瑞萨、ST等为代表的全球前八大MCU厂商市场份额合计达到88%。

处理器

目前MCU市场仍处于快速成长阶段,我们认为随着物联网加速部署,“32位”、“低功耗”和“高集成度”将成为MCU发展趋势三大关键词:

32位将成为主流:物联网时代任务的复杂化使得对芯片的性能要求持续提升,尤其是在汽车电子等运算密集型应用领域,对芯片的数据处理能力要求将越来越高,因此促使MCU从8位/16位向32位迈进。根据IC Insights 2014年的数据,出货量方面,32位MCU已经越来越接近8位MCU;销售额方面,32位MCU已经超过8位跃居第一位。随着32位MCU生态环境的建立以及成本的进一步降低, 32位MCU将赢来爆发式增长。

处理器

高整合度MCU+将成为发展趋势:物联网对于其中每个节点最理想的要求是智能化,即能够通过传感器感知外界信息,通过处理器进行数据运算,通过无线通讯模块发送/接收数据。因此,集成传感器+MCU+无线模块的高度整合方案将成为一大发展趋势,而随着SoC和SiP技术的发展,实现传感器、MCU和无线模块的单芯片集成的MCU+方案已经开始逐渐出现。

低功耗将成为物联网时代MCU核心竞争力:当前市面上各种移动电子产品最令人诟病的一点莫过于需要频繁充电,各家智能手机/手环厂商都在努力的降低功耗,提升续航能力。对于物联网世界里数量更为庞大的无线传感节点,功耗和续航时间更是直接关系到产品的可行性。通常这些节点需要24小时处于连接状态,这对MCU的功耗提出了非常高的要求。特别是一些像在隧道或者桥梁中的检测传感器,对电池续航能力的要求通常达到十年以上。因此,低功耗将成为MCU的核心竞争力。

FPGA:有望应用于云端推断

FPGA(可编程门阵列,Field Programmable Gate Array)是一种集成大量基本门电路及存储器的芯片,最大特点为可编程。可通过烧录FPGA配置文件来来定义这些门电路及存储器间的连线,从而实现特定的功能。此外可以通过即时编程烧入修改内部逻辑结构,从而实现不同逻辑功能。

※不同于采用冯诺依曼架构的CPU与GPU,FPGA主要由可编程逻辑单元、可编程内部连接和输入输出模块构成。FPGA每个逻辑单元的功能和逻辑单元之间的连接在写入程序后就已经确定,因此在进行运算时无需取指令、指令译码,逻辑单元之间也无需通过共享内存来通信。因此,尽管FPGA主频远低于CPU,但完成相同运算所需时钟周期要少于CPU,能耗优势明显,并具有低延时、高吞吐的特性。

处理器

“云服务器+FPGA”模式目前较为适用于云端推断环节。FPGA作为一种可编程芯片,非常适合部署于提供虚拟化服务的云计算平台之中。FPGA的灵活性,可赋予云服务商根据市场需求调整FPGA加速服务供给的能力。另外由于FPGA的体系结构特点,非常适合用于低延迟的流式计算密集型任务处理,意味着FPGA芯片做面向与海量用户高并发的云端推断,相比GPU具备更低计算延迟的优势,能够提供更佳的消费者体验。

巨头布局情况:英特尔167亿美元收购Altera,整合Altera多年FPGA技术以及英特尔自身的生产线,推出CPU + FPGA 异构计算产品主攻深度学习的云端推断市场;亚马逊 AWS、微软、百度、阿里云、腾讯云均围绕FPGA进行云端推断相应布局,具体如下表所示。

目前FPGA市场Xilinx和Altera(已被Intel收购)占据绝对垄断地位,合计占有接近90%的市场份额。技术专利的限制和较长开发周期使得 FPGA行业形成很高壁垒,也进而巩固行业巨头领先地位。

据 Gartner 统计,全球FPGA市场规模有望从2014年近50亿美元增长至2020年的84亿美元。FPGA 高性能、低能耗以及可硬件编程的特点使其适用范围得以扩大,从传统的通信、工业、汽车领域向深度学习、数据中心快速扩张。

新型存储器:有望成为下一代主流

主流存储器面临着一些不足和发展瓶颈,新型存储器在创新方面主要延两个方向:1)空间结构由2D变为3D;2)采用新的存储器件结构或材料。

目前的主要新型存储器包括:3D NAND、PCRAM和3D Xpoint(相变材料3D堆叠)。

3D NAND Flash:平面微缩技术存在发展瓶颈,3D NAND将会成为趋势。其主要优点包括:

低单位成本:随着制成的不断减小,特别是16nm之后,平面微缩工艺的难度越来越大,通过平面微缩带来的成本优势开始减弱;

大容量、低功耗:通过3D技术能够解决2D时随着制成变小而引起的存储单元之间的串扰效应和栅氧化层导致的电子击穿效应。3D NAND可以轻松地在宽松的制成下得到更高的容量,并且性能更好,功耗更低。

处理器

相变存储器PCM:是利用材料晶态和非晶态之间转化后导电性的差异来存储信息。相变存储器的核心是找到好的相变材料,找到低功耗高速的材料。一般步骤是:发现新材料、掺杂改性、构造复合相变材料。

※存储器从技术上看可分为控制电荷的电荷式和控制阻变分子的电阻式两种。电荷型存储器目前的问题有两个,一个是电子相互排斥不容易做小,另外一个是高k金属栅新型CMOS技术不兼容。而相变存储器属于电阻式存储器,能与高K、金属栅新型CMOS技术兼容,性能优越。相比于传统的存储器,PCRAM具有嵌入式、高密度,高速随机读写、寿命长等特点。

处理器

3D XPoint:2015 年7月,英特尔和美光在英特尔技术峰会上联手发布了3D XPoint 的新一代存储器技术,3D XPoint最核心的就是利用了两种相变材料的特性,在此基础上进行3D堆叠。该技术经历了十年研发,被英特尔称为自1989 年NAND 被发明后存储领域的第一次质的突破。3D XPoint第一次在实际产品上实现了低成本,高速度,非易失三大性能的结合。

处理器

3D XPoint性能优越: 1)3D XPoint的随机写入速率是NAND 的1000倍,密度是DRAM 的10倍;2)3D XPoint拥有更为宽松的蚀刻尺寸要求和层数添加空间,大大降低了制备成本。

3D XPoint应用前景广阔:3D XPoint具备极高的访问速度,能够很好地降低处理器和数据之间的延迟,具备很大的应用前景。Intel认为3D XPoint技术既可用于NAND 闪存,也具备做DRAM内存,Intel 表明首先会在服务器产品上使用3DX Point 技术,用3D XPoint 技术制造的NVDIMM 和SSD 都将直接与CPU 连接。

技术升级:10nm下FinFet先进工艺、EUV设备、下一代半导体材料

10nm以下FinFET制程、28nm性价比拐点

目前全球主流Foundry厂的半导体制程工艺已经 40nm左右,40nm+28nm+16nm工艺全球收入占比超过65%。

逻辑芯片是全球最领先工艺制程的主要阵地,目前全球晶圆厂领先者正在积极布局10/7nm工艺,以龙头台积电为例7nm已经于17Q2实现tape-out。预计7/5 nm先进制程未来两年能够实现量产。

处理器

高端工艺往10nm以下FET制程发展。为了开发出运算能更强大、功耗更低的芯片,未来10/7 nm甚至5nm工艺是发展重要突破的技术,FinFET工艺将原本的源极和汲极拉高变成立体板状结构,能够很好的降低漏电和动态功率耗损。

处理器

28nm将是半导体工艺的性价比拐点。半导体工艺从微米级到纳米级,纳米级从90nm到40nm过程中,核心指标“计算能力单位成本”持续下降,但是由于工艺进入20x nm之后,开发成本非线性提升导致半导体工艺在28nm节点碰到的拐点,目前来看28nm极有可能是计算能力的极小值,该节点在未来很多领域大有可为。

处理器

从收入结构来看,目前28nm及以下制程的收入占比超过50%。最先进制程的技术主要用于AP芯片,主要包括CPU、GPU、FPGA等。

处理器

先进封装:3D、SiP等先进封装技术引领行业趋势

先进封装是电子产品小型化趋势未来最重要的推动力。摩尔定律在5nm时候很可能会碰到物理极限,同时14nm以下新制程的开发难度和投资度呈现出指数级增长,因此IC产品的小型化的另一个核心思路是在封测环节利用更先进封装技术来实现。

先进封装方向:SiP、3D、MEMS等。封装的本质是电气互连,在芯片小型化和高效率的需求驱动下,SiP封装、3D封装、MEMS封装等新型工艺将是全球半IC封装产业的可以发展方向。

先进封装的发展方向是“以点替代线的连接”,完成“点的连接”的核心工艺是TSV和Bumping(Copper Pillar):

封装技术更新的驱动力是使封装器件微型化、低成本和高性能,从芯片互连技术角度看,封装技术发展路径是,第一代WB技术,第二代TAB技术,第三代FC技术,第四代TSV技术,这是电气连接技术“点替代线”思路的体现;引线键合是空间操作,点对点连接是平面操作,点代替线可以使连接电路的空间分布更加简单,从而减小封装体积;点替代线可以充分利用芯片的自身面积,可以减小封装面积,实现微型化;

此外,Bumping技术是可以批量生产的,而键合技术如WB或者TAB只能对单个芯片操作,因此点替代线可以实现晶圆级封装WLP,从而大大减少封装成本;点对点连接,可以缩短连接电路长度,减少系统寄生电容干扰、电阻发热和信号延迟,提高模组性能。

处理器

TSV(Through Silicon Via,硅通孔)工艺简介:通过在晶粒内部打垂直通孔并填充金属(Cu/W),将晶粒正面焊盘上的I/O信号引至背面,从而实现3D IC内部各层晶粒间的垂直互连,是SiP/3D封装中的关键工序。

TSV制造工艺包括通孔制造,绝缘层、阻挡层制备,通孔金属化,芯片减薄,技术难度远大于传统Wire Bonding技术;TSV技术是实现相邻晶粒间垂直互连的最短连接方式,可降低芯片功耗,提高运行速度。

从纵向看,TSV将晶粒的电气连接端口限制在一个“点”(Wire Bonding则需用“线”将端口引出),减小了3D IC的水平面积,同时TSV实现晶粒垂直互连不需要像Package on Package等3D封装使用基板,减少了芯片厚度,从而使芯片的三维封装密度达到最大;

Bumping技术简介:通过在芯片表面制作金属凸块提供芯片电气互连的“点”接口,反应了先进制程以“点替代线”的发展趋势,广泛应用于FC、WLP、CSP、3D等先进封装。它提供了芯片之间、芯片和基板之间的“点连接”,由于避免了传统Wire Bonding向四周辐射的金属“线连接”,减小了芯片面积(封装效率100%),此外凸块阵列在芯片表面,引脚密度可以做得很高,便于满足芯片性能提升的需求;

从工艺来看,加工时首先在晶圆上生长钝化层,然后用Ti/Ni在其上制作金属层UBM,最后利用焊接/电镀在UBM上生长出铅锡合金球/金球形成Bumping;Copper Pillar是Bumping互连技术中最先进的一种,用铜柱替换金属球作为芯片表面的电气接口,由于相邻铜柱轴间距很小(目前Amkor为50微米),因而I/O引脚密度可以做的更高,芯片尺寸可进一步缩小。

EUV等高精密设备是突破工艺的核心

半导体工艺制程的持续进步背后是相关核心设备技术的进步,其中光刻机是决定半导体制程的最核心设备,光刻机技术的技术引领半导体产业的持续发展。我们认为EUV技术是半导体工艺突破10nm以下的核心技术。

台积电目前已经规模量产14nm工艺,未来3-5年重点突破10nm、5nm工艺,传统的光刻机遇到了物理极限,目前最有可能是实现10nm以下工艺的设备是EUV光刻机。

化合物半导体新材料

半导体材料可分为单质半导体及化合物半导体两类,前者如硅(Si)、锗(Ge)等所形成的半导体,后者为砷化镓(GaAs)、氮化镓(GaN)、碳化硅(SiC)等化合物形成。以砷化镓(GaAs)、氮化镓(GaN)、碳化硅(SiC)为代表的第二、三代化合物半导体新材料有望受益新能源、5G大趋势到来!

物理极限是硅材料限制所在。过去几十年半导体都是以硅材料为基础的工艺发展起来,在半导体工艺演进到28nm以下时,随着晶体管尺寸的缩小,源极和栅极间的沟道也在不断缩短,当沟道缩短到一定程度的时候,量子隧穿效应就会变得极为容易,现有的CMOS工艺已经逐渐接近硅基材料的物理极限,目前主流观点认为硅基材料的物理极限在5nm。一直以来依靠等比缩小驱动的集成电路技术发展模式将面临难以逾越的障碍,未来半导体技术要实现质的突破将转向依靠材料的创新。

三大化合物半导体材料中,由于GaAs产业化较早,目前占比最大,主要用于通讯领域,全球市场容量70-80亿美元,主要受益通信射频芯片升级尤其是PA(power amplifier,功率放大器)驱动;GaN大功率、高频性能更出色,主要应用于军事领域和新能源汽车充电器、逆变器;SiC可用与大功率高频功率半导体器件如IGBT和MOSFET,被广泛用于交流电机、变频器、照明电路、牵引传动领域。

大陆半导体产业具备天时地利人和,崛起大势所趋

半导体行业具有技术密集、资本密集和产业集群三大属性:

技术密集:半导体产业属于材料+工艺+自动化+精密控制+电路等各领域的交叉高科技产业,具有非常高的技术壁垒。

资本密集:半导体产业链中的晶圆代工、封测业务需要投入高额资金购买高端精密自动化设备,并且技术进步迭代周期短,需持续投入研发和购买新设备,需要非常高的资本资出。

产业集群:半导体产业链的材料、设备、IC设计、晶圆代工、封测5个环节联系非常紧密,常常需要一起配套研发和合作以及售后服务,决定了完整的产业集群的重要性。

半导体行业属性决定产业发展需要天时+地利+人和:

半导体技术密集的行业属性决定行业大部分时候是“强者恒强”的格局,马太效应显著,只有当全球半导体行业发展出现新的机遇时,行业追赶者才有机会崛起,即“天时”,半导体面临新的行业机遇往往来自:新需求、新工艺、新模式以及技术放缓;

半导体属于高壁垒行业,在新需求(产品、市场)、新工艺、新模式的背景下,市场格局还没有定型,给新进入者和追赶者提供了较好的发展契机。技术壁垒一直是半导体落后者追赶领先者的障碍,行业技术进步放缓意味着追赶者与领先者的差距在缩小,行业追赶者在技术层面的技术劣势在逐步减小。

半导体需要大量资金投入以及人才以及相对完整和成熟的产业集群来支持,因此半导体产业发展还需要“地利”:资金、人才等核心生产要素方面的优势以及产业集群:

半导体产业技术密集、技术密集的属性以及技术迭代块的特征决定大部分处于追赶者需要技术和资金的双重支持以及投入前几年面临持续亏损的局面,因此半导体的发展离不开政府在资金补贴、技术转让、人才获取等方面的大力支持,因此半导体产业发展还需要“人和“:政府+公司齐心协力,实现技术和资本的共振:

天时:第四波硅含量提升周期巨大市场需求,摩尔定律放缓

以AI、物联网、汽车电子为驱动因素的第四波硅含量提升周期到来,带来新市场新机遇。过去十年,半导体行业主要围绕以手机为代表的各种移动终端发展。因为中国半导体起步晚,而海外龙头公司的竞争格局已经形成,想要追赶难度很大。而现在处于上一个需求周期进入成熟期,而新的需求将要爆发的时间点,给我们带来了更大的空间和更多的机会。

摩尔定律的放缓有助于缩小技术差距。摩尔定律是20世纪60年代以来科技史快速发展的核心驱动力,IC电路的集成度和性能都遵循着摩尔定律快速发展,处于技术快速迭代期,这一时期“追赶者”与“领先者”差距难以缩小。(注:摩尔定律即,当价格不变时,集成电路上可容纳的元器件的数目,约每隔18个月便会增加一倍,性能也将提升一倍。)

近年来,一方面,受技术工艺,成本以及功耗等因素的制约,摩尔定律开始放缓。仅有三星、英特尔、台积电等少数巨头能够承担巨额研发维持摩尔定律进展,28/14 nm的高性价比拐点为大陆半导体产业的持续追赶带来长周期机遇。另一方面,集成电路产业开始更加贴近应用,物联网等一系列产业的兴起,将带来芯片的多元化需求,意味着眼于晶体管数量并不能完全满足市场需求。这给大陆的“追赶者”更长的学习时间窗口,有助于缩小与“领先者”的距离。

处理器

地利:中国大陆是全球最大的下游需求和加工市场

中国大陆是全球最大的电子产品制造基地,最大的芯片市场。中国是全球最大的电子产品制造基地和芯片需求市场,生产了全球大部分的电子产品,对半导体产品需求量巨大。

处理器

2016年,中国半导体需求位居全球第一,超过1/3。但是中国半导体产业供需严重不匹配,供给方面,大陆供给全球的半导体产品和服务的市占份额仅为 4%,存在很大的供需缺口。巨大的供需缺口意味着巨大的成长和国产替代空间,将倒逼整个半导体行业的发展。

处理器

中国大陆的市场优势是相对于日本、韩国、***来说独有的优势。拥有市场,不仅能吸引技术,人才, 还能为半导体产业未来长期稳定发展供保障。

我国集成电路国产化需求强烈,进口替代空间大:

目前集成电路自给率仅为三成,进口额高居不下:作为全球最大的集成电路消费国家,我国集成电路市场仍严重依赖进口。中国集成电路产值不足全球7%,而市场需求却接近全球1/3。2016年我国集成电路消费市场规模达11,986亿元,但当年国内集成电路产业销售额仅为4,336亿元,自给率仅为36%。

2016年,中国集成电路进口额高达2271亿美元,连续4年进口额超过2000亿美元。同时集成电路出口金额为613.8亿美元,贸易逆差达1657亿美元。集成电路进口总额已超过同期原油进口额,成为我国第一大进口商品。

处理器

地利之产业集群:产业链初步形成,集群效应开始显现。目前,中国半导体设计、制造、封测、应用大生态链已经逐渐形成。并且形成了长三角、京津环渤海湾、珠三角、中西部四大集群。

人和:政策、资金、技术人才、产业链配套已经逐步到位

政策支持不断。集成电路作为信息产业的基础和核心,是国民经济和社会发展的战略性产业,国家给予了高度重视和大力支持:2000年以来,国家先后出台《鼓励软件产业和集成电路产业发展的若干政策》、《进一步鼓励软件产业和集成电路产业发展的若干政策》等鼓励政策,设立了国家科技重大专项,指导制定了《集成电路产业“十二五”发展规划》等,国内集成电路产业发展环境持续得到优化。为进一步加快集成电路产业发展,2014年6 月出台的《国家集成电路产业发展推进纲要》进一步突出企业的主体地位,以需求为导向,以技术创新、模式创新和体制机制创新为动力,突破集成电路关键装备和材料瓶颈,推动产业整体提升,实现跨越式发展。

大基金引领万亿资金持续投入、加快转换产值。2014年国家集成电路产业投资基金成立正式拉开中国半导体集成电路领域的大投入,目前统计来看截止17H1一期规模达1387亿元,撬动地方产业基金达5145亿元,加上二期规模有望接近万亿,只以线性来看,将迎来5到10倍的转换效率提升。

我们对目前大基金投资项目进行梳理,除表中所列外,近日大基金与长电科技、雅克科技、景嘉微等企业继续就入股、收购、募投项目等达成意向协议,有望快速落地。目前大基金已实施项目覆盖了集成电路设计、制造、封装测试、装备、材料、生态建设等各环节,实现了全产业链布局。

地方集成电路产业投资基金总规模已接近4000亿。除了国家“大基金”扶持行业龙头,各地也纷纷推出地方版集成电路扶持政策,通过设立投资基金,重点支持地方龙头企业在集成电路领域进行整合做大,如上海集成电路产业基金合作备忘录已于2016年4月签约,首期募集资金285亿元,旨在推动上海路产业尤其是集成电路制造业加速发展,加大产业整合步伐,形成产业集聚;安徽提出2017年省内集成电路产值达300亿元以上,2020年总产值达600亿元,支持合肥等市建立集成电路产业发展基金等。据不完全统计,各地方政府的投资基金(已经成立+宣布设立)总计规模已接近4000亿元。

国家和地方基金的落地实施极大带动了集成电路的投资与产业整合, 为产业发展破解融资瓶颈提供了保障,有力促进了集成电路行业的可持续良性发展。国家鼓励类产业政策和产业投资基金的落地实施,为本土集成电路及其装备制造业提供了前所未有的发展契机, 有助于我国集成电路装备业技术水平的提高和行业的快速发展。

“海外并购+人才流入”加速大陆半导体崛起:

海外并购实现跨越式发展:在政策支持的背景下,社会资本大量涌入,中国集成电路企业掀起了全球扩张的并购浪潮。比如长电科技收购全球第四大芯片封测厂商星科金朋;艾派克收购利盟国际;豪威和矽成半导体的私有化等。

人才流入加速行业崛起,大陆对人才的吸引力也越来越强:半导体产业基础可以靠并购,但是产业先进则需要靠优秀人才。近年来,IC界海外人才纷纷回国工作、创业,为大陆大陆半导体发展注入了强大动力。

中国大陆高素质人才占比不断提高,保障了充沛的人才供给。其中研究生占比,特别是理工科研究生占比不断提高。2004年,中国研究生毕业生数量是15万人左右,到2015年当年度研究生毕业数量达到55万人左右,研究生占比本科毕业生比例在7%左右;2004年中国理工类研究生数量是11.7万,占比研究生总数比例是78.12%,随着扩招,以及加入WTO后对于复合型人才的需求,理工类研究生占比比例维持在60%左右。

处理器

另一方面,大陆对人才的吸引力也越来越强。相比于以前,中国大陆半导体经过了数十年的发展,已经形成了一个比较好的发展环境,出现了一些具有潜力的优秀公司,现在管理人才和技术人才来大陆有了更好的平台,更高的薪酬和福利。除先前展讯挖走联发科手机芯片部门前最高主管袁帝文之外,前华亚科董事长高启全跳槽到大陆紫光集团。此外大陆也积极引进硅谷、***的半导体人才,提供了相当具有薪酬和其他配套福利。

中国大陆将会是未来10年半导体行业发展最快的地区。中国大陆半导体行业虽然起步晚,基础相对薄弱,但是在技术、市场需求、人才、政策支持等多重因素的促进下,我们认为,中国大陆将会是未来10年半导体行业发展最快的地区。根据IC Insights等机构预测,我国半导体产业规模到2020年将达到1430亿美元,2015-2020复合增长率超20%,远高于全球的平均3%-5%的增速。

处理器

半导体产业的发展,天时、地利、人和,缺一不可。而我们认为,中国大陆半导体产业已经到达一个向上突破的临界点。现在这个时点,中国半导体行业已经具备了天时地利人和,产业崛起大势所趋。

大陆半导体未来崛起路径:由封测主导到全面发展

大陆半导体产业链崛起路径:由微笑曲线底部向两端发展

微笑理论于1992年由宏碁创始人施振荣提出,总结全球制造业产业链价值量规律:

※ 完整的产业链包括市场调研、创意形成、技术研发、模块制造与组装加工、市场营销、售后服务等环节,可以分为研发与设计、生产制造以及营销和服务三个大环节;

※ 研发和设计分别位于产业链结构的前端和后端,分别是技术密集型领域、营销和服务把握市场渠道均具有较高的价值量,拥有较高附加价值;

※ 生产与制造主要模式在采购设备和原材料进行加工,对产品的设计和渠道没有大的话语权,拥有较低附加价值。 然而,我们会在此后分析,半导体产业具有独特的微笑曲线。

处理器

半导体产业具有独特微笑曲线。半导体产业的拥有比较特殊的微笑曲线,对应顺序为材料、设备—IC 设计—Foundry—封测—IC 设计 (营销服务),而不是按照材料、设备—IC 设计—Foundry—封测顺序,核心原因是:

IC 设计商同时掌握研发以及营销和服务: IC 设计的模式是根据下游终端厂商客户的需求、研发设计 IC 方案并对接上游 Foundry 和封测厂生产出最终的 IC 产品销售给客户;

Foundry厂兼具生产和先进制程工艺研发职能:Foundry厂并不是简单的代工厂,其掌握了半导体最核心的先进制程工艺,是摩尔定律不断推进的核心推动者。

处理器

大陆半导体产业链崛起路径:由微笑曲线底部向两端发展。微笑曲线理论为产业的国家分工方式指出本质规律:国际分工模式由产品分工向要素分工的转变,参与国际分工合作的世界各国企业,由生产最终产品转变为依据各自的要素禀赋,只完成最终产品形成过程中某个环节的工作。

过去十年左右,电子产业链国际分工中,大陆主要承担电子终端的组装,大陆半导体由于市场的天然优势,而在在技术、资金等生产要素存在明显的相对劣势,因此在半导体产业的国际分工中主要是封测领域比较突出。

在美国完成产品设计、设备研发生产,原材料由日本提供,在美国、韩国、中国***完成制造生产,在***、新加坡完成封装测试,最后在中国完成模组和终端产品组装,是过去电子终端、半导体产业典型的国际分工模式。

按照本土公司收入规模口径计算,国产化率从高到底分别是封测、IC设计、Foundry,分别为12%、10%、7%,国内IDM、材料、设备国产化率非常低,不超过5%

随着技术差距的缩小以及资金、人才的持续投入,我们判断未来大陆参与半导体产业的国际分工将沿着微笑曲线底部向两端发展:由封测主导向IC设计、Foundry以及材料、设备全面发展。

封测:海外并购整合+先进封装技术开发

在《国家集成电路产业发展纲要》发布之后,我国家加快了集成电路产业的布局,封装测试作为集成电路产业链后端关键环节也获得了快速发展。近年来一直保持两位数增长,2016年大陆封测业产值同比增长13%达到1563亿元。预计在17-18仍将保持13%-14%增速增长。

处理器

通过自主研发先进封装和海外并购整合,中国大陆封测市场迅速壮大,份额跃居全球第二。国内封测前三的公司是长电科技、通富微电、华天科技,其中长电科技在全球排在第六,长电“蛇吞象”收购星科金朋后一举成为全球第三大封测厂(日月光将和矽品合并),仅次于日月光和安靠,通富微电收购AMD封测子公司之后也成为全球封测厂商前十。

处理器

研发方面,为了更好地适应国内和国际市场对先进封装技术的要求,国内封测企业不断加强研发力度在3D、SIP、WLCSP等先进封装技术加快布局,中高端封装占比提升至30%。

处理器

产业并购方面:封测行业作为半导体行业的先锋,在大基金的助力下已经完成了一系列的产业并购。长电科技收购新加坡的星科金朋,华天科技收购美国的FCI,通富微电收购美国的AMD公司封测厂等,全球封测业务进一步向中国大陆聚集。

设计:蓬勃发展,国内占比提升至第一

目前我国设计产值占比正式成为第一,设计水平达到16/14 nm。去年我国三业实现三个第一次:设计业第一次成为我国第一大产业、芯片制造业增长速度首次超过设计业、三业均第一次超过千亿规模,意义重大。集成电路设计企业盈利达到503家。2016年全产业销售额达到1518亿元,同比增长23%。排名前2的企业(海思与紫光展锐)进入全球设计行业前十。

处理器

我国基本没有IDM公司,所有的IC设计公司都是Fabless模式,我国Fabless公司在全球市场占有率10%左右,次于美国和***。我们预计这一占有率在今明两年有望持续提升。

处理器

2004年全球IC设计公司前50名中没有一家中国本土IC设计公司,2015年海思半导体已经进入前十名,2016年海思与紫光展锐进入全球前十。此外北京豪威、中兴微电子、华大半导体、智芯微、汇顶科技等也进入前50,足以说明大陆本土IC设计公司的实力以及发展速度。

处理器

在半导体产业飞速发展的背后,IC设计和制造方式一直在进行调整,IC设计公司快速兴起。在IC设计过程中,首先要进行规格制定,也就是根据下游产商的需求进行定制化的过程。定制化意味着优质的IC设计公司将具备很高的业绩弹性,一旦某个细分领域需求增加,相关公司将会在短期获得大规模增长。

AI、IoT、汽车电子时代将带来海量终端应用,不同于互联网时代,物联网等产业具备长尾特性,应用领域更加广泛和发散,需求上具有少量多样的特点。这对于定制化服务的IC设计厂商来说,具有更多崭露头角的机会,IC设计公司在找到合适的客户和商机,即使是一个非常小的领域就足以让各家公司在营收和获利表现上迈上新的台阶。

处理器

制造:以中芯国际为龙头的大陆Foundry厂将全面崛起

目前全球主要的晶圆厂产能主要分布在美国、韩国、日本、***和大陆,按照地域角度划分,截止2015年大陆拥有全球10%左右晶圆厂产能,但按照真实国产化率来算(三星、海力士、英特尔纷纷在华设厂),大陆本土公司拥有的晶圆厂占全球产能不到2%。

中国大陆晶圆建厂高峰到来,2017-2020年拟新建晶圆厂占全球的42%:根据国际半导体协会(SEMI)所发布的近两年全球晶圆厂预测报告显示,2016至2017年间,综合8寸、12寸厂来看,确定新建的晶圆厂就有19座,其中大陆就占了10座。SEMI更预估2017年到2020年的四年间,将有26座新晶圆厂投产,成为全球新建晶圆厂最积极的地区,整个投资计划占全球新建晶圆厂的42%,成为全球新建投资最大的地区。

处理器

大陆基本没有本土IDM半导体公司,主要的晶圆厂在Foundry厂,主要包括中芯国际、华虹半导体等。2016年大陆Foundry行业营收同比增长26%至1126亿元,首次突破千亿,体量上目前次于***和美国(foundry)。同时我们预计在2017-2018年大陆制造产业仍将保持27%-28%增速增长。

目前大陆制造领域情况:12英寸集中扩建,8英寸订单满载,6英寸面临转型。整体来看目前大陆已投产12寸线月产能达46万片(含外资、存储器),全球占比约9%;已投产8寸线月产能达66万片(含外资),全球占比达12.8%。2016-2020年新增12寸线规划产能在100-110万片/月。

由于Foundry厂的工艺进步迭代以及新工艺指数级的研发投入的提升,行业壁垒越来越高,导致行业集中度逐渐提升,台积电一家全球市占率超过50%,基本垄断了客户外包的最先进工艺的订单(苹果等),目前行业CR10》90%,非常集中。

梁孟松正式加盟,中芯国际迈上新征途。中芯国际正式宣布梁孟松出任联合CEO,我们认为梁孟松的到来除了有望加速28 nm HKMG良率突破及14nm先进制程工艺的开发,更重要的是对大陆半导体制造研发团队的培育。从以往履历经验来看,梁孟松跳槽三星除了引入嫡系研发团队,更在成均馆等高校对韩国本土团队开班讲学,最终协助三星实现14 nm快速跃进。科技研发红利不仅仅是靠人力成本的工程师红利,核心在于人才,我们认为随着梁孟松的到来,中芯国际正式具备“新兴需求+本土市场+先进人才” 天时地利人和三大要素,有望迈上快速发展新征途。

材料:有望受益于下游Foundry和封测崛起浪潮

半导体材料市场概述。根据SEMI统计数据,2015年全球半导体材料市场规模达到434.2亿美,预计2016年市场规模达到436.8亿美元。中国半导体制造材料市场2011年已经超过北美成为全球第四大市场,之后一直保持高速增长,预计2017年将进入全球前三。

处理器

按照材料所属环节来分类,可以分为晶圆制造环节的材料和封装测试环节的材料,分别占比在60%、40%左右。晶圆制造材料主要包括:硅片、掩膜版、光刻胶及配套试剂、电子气体、CMP材料等;封装材料主要包括:封装基板、陶瓷基板、键合丝、包封材料等。

处理器

晶圆制造材料中价值量占比较高的主要有:硅片、掩膜版、光刻胶、电子气体、CMP和靶材,其中硅片占比最高,占比超过30%。

处理器

半导体封装材料主要包括封装基板、陶瓷基板、键合丝、引线框架以及包封材料。

处理器

2015年我国半导体材料整体产业规模超过220亿元,其中晶圆制造材料119亿元,封测材料102亿元,相比较国内半导体晶圆厂和封测厂巨大的需求,国内的半导体材料还有很大的供给缺口。

处理器

整体来看我国半导体材料本土公司起步较晚,但发展很快,特别是在最近几年我国半导体材料行业在一些领域已经取得了突破性进展,比如靶材、抛光液等,已经达到国际水平。

处理器

硅片——价值量占比最高、最核心材料。半导体中主要用到电子级的单晶硅,半导体用单晶硅的制造流程为:拉晶—》滚磨—》线切割—》倒角—》研磨—》腐蚀—》热处理—》边缘抛光—》正面抛光—》清洗—》检测—》外延。

目前全球主流尺寸的硅片为200mm和300mm,其中300mm硅片占比超过70%,预计到2025年300mm硅片将一直是全球最主流的尺寸,2020年以后有望实现450mm的硅片逐渐规模量产。

处理器

半导体单晶硅片的核心难点是超高纯度要求,一般要做到99.999999999%(九个9)以上。之前已经介绍,由于超高的行业壁垒,全球半导体单晶硅市场集中度较高,主要由日本信越、SUMCO,德国Siltronic,韩国SK Siltron和***GWC占据90%以上的份额。

我国半导体硅片行业起步较晚,目前有十家左右硅片企业,技术达到200mm尺寸,主要有有研半导体、金瑞泓、上海新傲、南京国盛、河北普兴、上海新晟等,目前出具产业化能力。300mm硅片领域,国内上海新晟和有研半导体比较领先,上海新晟2015年开始推进40-28nm工艺300mm大硅片项目,预计今明两年开始逐步量产。

光刻胶是另外一种关键材料,用于关键工序光刻。

它是利用光化学反应经光刻工艺将所需要的微细图形从掩模版转移到待加工基片上的图形转移介质,由成膜剂、光敏剂、溶剂和添加剂等主要化学品成分和其他助剂组成。

光刻胶材料是微细加工技术的关键性材料,光刻胶按显示的效果,可分为:(1)正性光刻胶,显影时未曝光部分溶解于显影液,形成的图形与掩膜版相反,(2)负性光刻胶,显影时曝光部分溶解于显影液,形成的图形与掩膜版相同。

为适应集成电路线宽不断缩小的要求,光刻胶的波长由紫外宽谱向g线(436nm)→i线(365nm)→KrF(248nm)→ArF(193nm)→F2 (157nm)的方向转移,并通过分辨率增强技术不断提升光刻胶的分辨率水平。

处理器

目前,半导体市场上主要使用的光刻胶包括g线、i线、KrF、ArF四类光刻胶,其中g线和i线光刻胶是市场上使用量最大的光刻胶,光刻胶行业的主要技术难点在:(1)配方,(2)超高纯度,半导体光刻胶市场主要由日本和美国公司主导。全球领先的光刻胶材料公司主要有JSR、信越化学、东京应化、陶氏化学等,9家全球最主要的光刻胶公司的市占率超过95%。

处理器

我国半导体光刻胶材料发展比较晚,国内的技术与国外领先企业有较大技术差距,目前国内能够生产集成电路用光刻胶主要由3-5家公司:北京科华(南大光电)、苏州瑞红、潍坊星泰克、强力新材、苏州晶瑞等。

处理器

溅射靶材

溅射属于物理气相沉积技术的一种,它利用离子源产生的离子,在高真空中经过加速聚集,而形成高速度能的离子束流,轰击固体表面,离子和固体表面原子发生动能交换,使固体表面的原子离开固体并沉积在基底表面,被轰击的固体即为溅射靶材。

处理器

溅射靶材是半导体晶圆制造环节核心的高难度材料,溅射靶材占半导体晶圆制造材料市场中3%左右,全球市场规模在6-7亿美元,年均增速5-10%。

溅射靶材的产业链为:金属提纯—靶材制造—溅射镀膜—终端应用,其中超高纯度靶材是溅射靶材的基础,靶材制造和溅射镀膜是最关键的两个环节。

半导体靶材的核心技术主要包括:(1)金属提出技术,纯度要求做到99.999%,(2)金属微观结构控制技术,(3)异种金属高端焊机技术,(4)精密加工、清洗和加工技术。

中国生产半导体用的溅射靶材之前一直依赖进口,JX/Nikko、Praxair、Honeywell、Tosoh等,四家公司市场占有率超过80%。目前以江丰电子为代表的国内公司逐渐登上舞台,其中江丰电子已经成功进入台积电、umc、中芯国际等国际大客户。

处理器

设备:国产替代有望加速,受益大陆建厂潮

SEMI上修今年全球半导体设备支出预估将达550亿美元,较今年上半年的预测金额增加20%,较去年则成长达37%,主要动能来自存储器与晶圆代工增加投资,明年支出预估也从500亿美元上修达580亿美元,可望连续2年创新高纪录。目前全球晶圆厂预测追踪中的晶圆厂设厂计划,2017年有62座,2018年有42座,其中许多会在大陆,带动大陆近2年设备支出将大幅成长。

受益于晶圆投资建设高峰,中国半导体设备市场规模有望不断创新高。中国市场2016年半导体设备市场规模64.6亿美元,同比增长31.8%,全球增速最快,成为仅次于***和韩国的第三大半导体设备市场。

大陆在半导体装备上的投资主要是国内公司加大了投入,其中领头的有中芯国际、华虹半导体等代工龙头,也有长江存储、合肥睿力(长鑫)、福建晋华等新兴存储项目。中国2017年预计市场规模为68.4亿美元,同比增长5.9%,市场规模排在韩国和***之后。2018年中国半导体设备的市场规模有望迎来爆发,达到110.4亿美元,同比增长61.4%,一跃成为仅次于韩国的第二大半导体设备市场。

设备投资约占半导体生产线投资的75%-80%:设备制造业是集成电路的基础产业,是完成晶圆制造和封装测试环节的基础。集成电路生产线投资中设备投资占比较大,达总资本支出的75%-80%左右。

所需专用设备主要包括晶圆制造环节所需的光刻机、化学汽相淀积(CVD)设备、刻蚀机、离子注入机、表面处理设备等;封装环节所需的切割减薄设备、度量缺陷检测设备、键合封装设备等;测试环节所需的测试机、分选机、探针台等;以及其他前端工序所需的扩散、氧化及清洗设备等。这些设备的制造需要综合运用光学、物理、化学等科学技术,具有技术含量高、制造难度大、设备价值高等特点。从价值量占比来看,晶圆制造环节的工艺难度远高于封测的难度,因此晶圆制造设备的价值量也远高于封测设备,晶圆制造设备的价值量占比超过75%。

处理器

根据SEMI预测,就半导体各类设备销售额而言,2017年以晶圆制造设备(wafer manufacturing equipment)销售额为最高,达398亿美元,占当年所有半导体设备总销售额的80.6%(其中光刻设备约占20%,刻蚀设备约占15%,沉积设备约占15%)。其次为半导体测试设备的39亿美元,占7.9%。封装设备销售额为34亿美元,占6.9%。至于包括厂务设备(fab facilities equipment)、光罩设备(mask/reticle equipment)等在内的其他前端(front-end)设备销售额为23亿美元,占4.7%。

半导体设备市场:产品市场集中度高,美日技术领先,CR10份额接近80%。根据目前全球集成电路专用设备生产企业主要集中于欧美、日本、韩国和我国***地区等,以美国应用材料公司(Applied Materials)、荷兰阿斯麦(ASML)、美国泛林半导体(Lam Research)、日本东京电子(Tokyo Electron)、美国科磊(KLA-Tencor)等为代表的国际知名企业起步较早,经过多年发展,凭借资金、技术、客户资源、品牌等方面的优势,占据了全球集成电路装备市场的主要份额。2016年全球半导体专用设备前10名制造商销售规模占全球市场的79%,前20名销售占比87%,前10名销售占比92%,市场集中度高。

晶圆制造设备细分市场基本上一家独大,多项设备Top3市占率超90%:集成电路装备业具有技术更新周期短带来的极强技术壁垒,市场垄断程度高带来的极大市场壁垒,以及客户间竞争合作带来的极高认可壁垒等特征,因此集成电路装备市场高度垄断,细分市场一家独大,甚至在多项核心设备市场,全球前三大占有率超过90%。例如光刻机市场ASML全球占比75.3%,加上日本的Nikon和Canon,前三大全球占比93.8%;PVD市场,应用材料(AMAT)全球占比84.9%,算上Evatec和Ulvac,前三大全球占比96.2%;刻蚀设备市场,泛林(LAM)全球占比52.7%,连同TEL和AMAT,前三大全球占比90.5%;氧化/扩散设备市场,Hitachi、TEL、ASM合计全球占比94.8%;CVD市场,应用材料(AMAT)全球占比29.6%,连同TEL和LAM,合计全球市场占比达70%。

国内半导体设备保持较快增速,有望加速国产替代。根据中国电子专用设备工业协会对国内35家主要半导体设备制造商的统计,2017年1-6月,半导体设备完成销售收入36.77亿元,同比增长27.6%,相当于去年全年半导体设备销售收入的64.1%。预计2017年全年主要半导体设备制造商销售收入将增长33%左右,达到76.5亿元左右。

国产设备占比较低,进口替代空间大:2016年国产设备占国内半导体设备市场的份额约为13.35%,连续4年下滑。在进口设备种类方面,占进口金额比例较大的主要为CVD、刻蚀机、光刻机和键合机,前三者为制造环节的核心设备,技术门槛高,单台价值量大,键合机为封测环节用设备。同时我们也看到部分领域国产设备市占率提升明显,先进封装制程中的高端工艺设备、刻蚀机、PVD、光刻机、清洗机等关键设备已经基本实现国产化,产品性能达到国际先进水平。

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分