现代eda技术的特点及作用浅谈

PCB设计

2493人已加入

描述

  随着计算机技术和集成电路的飞速发展,电子技术面临着严峻的挑战。由于电子产品的研发周期不断缩短,专用集成电路(ASIC)的设计面临着设计周期越来越短与设计难度不断提高的矛盾。为了解决这一矛盾,就有必要采用新的电子电路设计方法和相应的设计工具,在此情况下,EDA (E1echonics Design Automation,即电子设计自动化)技术也就应运而生。

  本文主要详谈EDA技术的特点及作用,首先介绍了EDA技术的发展历程,其次阐述了特点及作用,最后介绍了EDA技术的发展趋势,具体的跟随小编来了解一下。

  

  EDA技术的基本概念

  EDA是电子设计自动化(Electronic Design Automation)的缩写,是从CAD(计算机辅助设汁)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。EDA技术是以计算机为工具,集数据库、图形学、图论与拓扑逻辑,计算数学,优化理论等多学科最新理论于一体,是计算机信息技术、微电子技术、电路理论、信息分析与信号处理的结晶。

  EDA技术的发展历程

  11)初级阶段:早期阶段即是CAD(Computer Assist Design)阶段,大致在20世纪70年代,当时中小规模集成电路已经出现,传统的手工制图设计印刷电路板和集成电路的方法效率低、花费大、制造周期长。人们开始借助于计算机完成印制电路板-PCB设计,将产品设计过程中高重复性的繁杂劳动如布图布线工作用二维平砸图形编辑与分析的CAD工具代替,主要功能是交互图形编辑,设计规则检查,解决晶体管级版图设计.PCB布局布线、门级电路模拟和测试。

  2)发展阶段:20世纪80年代是EDA技术的发展和完善阶段,即进入到CAE(Computer Assist Engineering Design)阶段。由于集成电路规模的逐步扩大和电子系统的日趋复杂,人们进一步开发设计软件,将各个CAD工具集成为系统,从而加强了电路功能设计和结构设计,该时期的EDA技术已经延伸到半导体芯片的设汁,生产出可编程半导体芯片。

  3)成熟阶段:20世纪90年代以后微电子技术突飞猛进,一个芯片上可以集成几百万、几千万乃至上亿个晶体管,这给EDA技术提出了更高的要求,也促进了EDA技术的大发展。各公司相继开发出了大规模的EDA软件系统,这时出现了以高级语言描述、系统级仿真和综合技术为特征的EDA技术。

  EDA技术的基本特点

  EDA代表了当今电子设计技术的最新发展方向,电子设计工程师们可以利用EDA工具设计复杂电子系统,通过计算机来完成大量繁琐的设计工作,即就是将电子产品从电路设计、性能分析到设计出IC版图的整个过程都在计算机上自动处理完成。该技术具有以下一些特点:

  1、自顶向下的设计方法。

  “自顶向下”(Top- Down)是一种全新的设计方法,这种设计方法从设计的总体要求入手,自顶向下将整个系统设计划分为不同的功能子模块,即在顶层进行功能方划分和结构设计。这样可以在方框图一级就进行仿真和纠错,并能用硬件描述语言对高层次的系统行为进行描述,从而在系统一级就能进行验证,然后由EDA综合工具完成到工艺库的映射。由于设计的主要仿真和纠错过程是在高层次上完成的,这种方法有利于在早期发现结构设计上的错误,从而避免设计工作中的浪费,同时也大大减少了逻辑功能仿真的工作量,提高了设计效率。

  2、可编程逻辑器件PLD

  可编程逻辑器件是一种由用户编程以实现某种电子电路功能的新型器件,PLD 可分为低密度和高密度两种。其中低密度 PLD 器件的编程都需要专用的编程器,属于半定制的专用集成电路器件,而高密度 PLD 就是EDA 技术中经常用到的复杂可编程逻辑器件(CPLD)、现场可编程门阵列(FPGA)以及在系统可编程逻辑器件(ISP-PLD)等,它们属于全定制ASIC 芯片,编程时仅需以 JTAG 方式与计算机并口相连即可。

  3、硬件描述语言

  硬件描述语言(HDL- Hardware Description Language)是一种用于设计硬件电子系统的计算机高级语言,就是用软件编程的方式来描述复杂电子系统的逻辑功能、电路结构和连接形式。硬件描述语言是EDA技术的重要组成部分,是EDA设计开发中很重要的软件工具。其中VHDL即超高速集成电路硬件描述语言,是电子设计中主流的硬件描述语言,用VHDL进行电子系统设计的一个优点是使设计者可以专心致力于其功能的实现,而不需要对与工艺有关的因素上花费过多的时间和精力。

  

  EDA技术的作用

  1、验证电路设计方案的正确性

  设计方案确定之后,首先采用系统仿真或结构模拟的方法验证设计方案的可行性,这只要确定系统各个环节的传递函数(数学模型)便可实现。仿真之后对构成系统的各电路结构进行模拟分析,以判断电路结构设计的正确性及性能指标的可实现性。这种量化分析方法对于提高工程设计水平和产品质量,具有重要的指导意义。

  2、电路特性的优化设计

  元器件的容差和工作环境温度将对电路的稳定性产生影响。传统的设计方法很难对这种影响进行全面的分析,也就很难实现整体的优化设计。EDA技术中的温度分析和统计分析功能可以分析各种温度条件下的电路特性,便于确定最佳元件参数、最佳电路结构以及适当的系统稳定裕度,真正做到优化设计。

  3、实现电路特性的模拟测试

  电子电路设计过程中,大量的工作是数据测试和特性分析。但是受测试手段和仪器精度所限,测试问题很多。采用EDA技术后,可以方便地实现全功能测试。

  EDA技术的发展趋势

  随着科技水平的提高,电子产品的更新换代日新月异,而EDA技术作为各类电子产品研发的源动力,自然而然成为现代电子系统设计的核心。

  进入21世纪以来,电子技术已经全方位纳入到EDA领域,EDA技术使得电子领域各学科之间的界限愈加模糊,相互间互为包容,其发展趋势主要表现在以下几个方面:EDA技术要生存就必须适应市场发展趋势,要专注于技术创新,而EDA产品技术创新的重点将体现在系统级验证及可制造性设计(DFM )两大领域;使电子设计成果将以自主知识产权(IP)的方式得以明确表达和确认,IP的合理应用是产品设计流程得以加速的一个有效途径。一体化的设计工具平台使用户受益于统一的用户界面,避免了在不同的工具之间进行数据相互转换等繁琐的操作过程;描述语言一直是EDA业中重要的一环,然而随着IC复杂度的不断提高,从更高层次入手对系统进行描述是描述语言未来的发展方向;随着EDA技术在全世界范围内的飞速发展,使得基于Linux环境的EDA技术将成为电路设计领域的主流。

  EDA技术应用广泛,如今已涉及到各行各业,EDA技术水平也在不断提高,设计工具趋于完美,EDA市场也日趋成熟。

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分