paramter和localparam有什么区别?

描述

这是一个Verilog中有争议的问题,即Parameter即作为常数,也作为参数使用是否合理合法的问题。在IEEE 2005标准之前,Verilog就是这样做的。但常数不仅需要安全的封装,而且还涉及知识产权(IP),常数参数不区分带来很多质疑,随这EDA规模发展,IP意识加强,常数更需要安全,因此IEEE在2005之后,加入localpara保留字,用于定义常数。常数用于定义当前工程和文件中那些固定不变的变量。而参数则是可以用作LPM交换的数值,类似于C语言中的形式参数。Verilog中的代码模型被重复引用时,使用LPM可以根据现场需要,修改这些参数进行定制。显然常数是不需要被传递出去,不需要也不允许被现场定制的。在2005版Verilog之前,常数参数不分的情况下,重用代码的使用者和重用代码的设计者可能不是同一个人(或团队),这样,很容易造成不明内部细节的使用者,不经意的修改常数,使得原本稳定的系统出问题,并反馈给该重用代码的设计者。另外一种情况,或更严峻的情况是,IP核的复杂性和成本都在增加。保护IP的意识在争强,而攻击破解IP的人和团队也开始出现。这就使得IP作者必须保护自己的代码,常数如果作为参数传递出去,是一个非常重要的攻击漏洞,当然要补上。因此,从现在开始,我们要将常数封装好,保护好自己的代码。

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分