半导体设备是半导体产业链中重要一环

电子说

1.2w人已加入

描述

核心要点:

半导体产业之风已至,政策环境利好国内半导体设备企业。在全球半导体产业向大陆转移的过程中,半导体设备国产化具有重要战略意义。在国家政策与资金的支持下,国内半导体行业在技术积累和人才储备方面都在快速增长着。我们测算未来三年(2018至2020年)国内半导体设备需求分别至少为1,605亿元、1712亿元和1,056亿元,其中国产设备将会有至少258亿元的市场需求,随着产业转移的不断进行和新建产线的持续披露,预计会实现更快速的增长。

国内产能扩张带来被动增长,国产化率提升促进主动突破。半导体行业正处于周期性向成长性转变的过程中,而作为上游的半导体设备行业也开始了它的持续增长之路,大陆在设备行业景气度持续提升和国内需求爆发的双重作用下所孕育的绝佳土壤,为设备企业带来了生长机会。国内半导体设备企业在2018至2020年的成长主要来自于国内产能扩张所带来设备需求的被动拉动,而随着国家政策与资金的持续支持、高端制程的不断突破,设备企业有望在2020年之后在国产化浪潮的推进下持续进步。

持续的、高强度的研发投入和核心技术的自主掌握始终是企业的安身立命之本。通过比较我们发现,在产品结构上,综合型设备企业产品线丰富,凭借产品广度形成市场竞争力;专业型设备企业深耕某一个或几个细分领域,在该领域形成垄断优势。在并购风格上,综合型设备企业从事的并购以多样化并购为主;专业型设备企业的并购标的多与公司所专注领域有关且在某一细分技术上具有比较优势。但是这些企业都有一个高度相同的地方——注重研发投入和自主创新,持续的、高强度的研发投入和核心技术的自主掌握始终是企业的安身立命之本。

1.      国内设备需求巨大,供需结构亟待改善

1.1.      半导体设备是半导体产业链中重要一环

集成电路是半导体产业的核心,包括逻辑电路、存储器、微处理器和模拟电路等四类,占据半导体行业规模八成以上,其余为光电子、分立器件和传感器。芯片作为集成电路的载体,是集成电路经过设计、制造、封装、测试后所呈现的独立的实体。

IC设计

从产业链的角度看,以集成电路为代表的半导体产品被广泛用于消费电子、通讯、工业自动化等下游电子信息产业之中,同时也受到下游终端应用结构发展的推动,下游应用是半导体产业发展的核心驱动力。根据美国半导体产业协会(SIA)的数据,全球半导体销售额自2016年8月以来已经连续20个月实现了同比增长。而根据Gartner的数据,作为半导体下游驱动的智能手机,年出货量增速却从2016年的5.06%下滑至2017年的2.77%,中国智能手机出货量更是在2017年出现负增速,同比下降11.55%。半导体下游需求结构出现转变,未来将有可能逐渐从以智能手机与PC为驱动逐渐向以人工智能、可穿戴设备等新兴领域为驱动转化。

IC设计

半导体产业在发展过程中,逐渐形成了两种商业模式:一种是集成器件制造模式(IDM模式),以英特尔为例,是将芯片从设计到投向市场的一系列步骤全部覆盖的模式;另一种是垂直分工模式,将生产环节进行垂直拆分,每一个环节由专门的厂家负责,例如做半导体设计的英伟达、高通等Fabless(无晶圆厂)企业,做Foundry(晶圆代工)的台积电等。后者出现的标志是1987年台积电的成立,这也使得晶圆代工成为了***地区标志性产业。

这种模式产生的原因,源于半导体行业资本密集型和技术密集型的特点。由于半导体制造具有规模经济特性,扩大产能便于企业降低成本,所需投资额十分巨大,一条产线的投资金额可达10亿美元量级,这就增加了产业的进入壁垒。而台积电的建立,降低了IC行业的准入门槛,使得更多的中小型IC设计企业进入市场,加快了半导体行业的繁荣。

1.2.      集成电路制造工艺复杂,所需设备众多

集成电路的制作,是将设计好的电路图通过众多复杂的工艺构建在事先准备好的硅片上,最终进行封测的过程。而这整个一套过程,又需要半导体材料、设备和洁净工程等上游产业链作为支撑。

IC设计

IC设计是通过逻辑电路设计实现特定功能的过程:先由品牌商等客户的工程师与IC设计工程师接触,提出设计要求,然后合作完成逻辑电路图设计,并将设计图转化成电路图,经过软件测试验证是否符合客户规格要求,最后将电路图以光罩的形式制作出来,用于下一步IC制造使用。整个过程主要在计算机中完成,故所需设备较少。

IC制造分为晶圆制造及加工。晶圆制造是指利用二氧化硅作为原材料制作单晶硅硅片的过程。具体来讲,是先利用西门子工艺,将天然硅加工成用来制作芯片的高纯硅,后者又被称为半导体级硅或电子级硅,再利用CZ法等技术将半导体级硅的多晶硅块转换成一块大的单晶硅硅锭。对硅锭进行一系列机械加工、化学处理、表面抛光和质量测量后,可以得到用于下一步晶圆加工的硅片。

IC设计

自20世纪50年代起,硅片直径已经从25mm发展到了300mm,这意味着单一硅片上可以生产出更多的硅片。根据《半导体制造技术》,通过这种规模效应,即设备利用率的提高,将硅片直径从200nm转换到300mm可将每块芯片的生产成本降低30%,追求更低的单位成本是半导体产业发展的必然趋势,而新建产线是扩大此规模效应的最佳方法,这对于具有大尺寸单晶炉生产能力的企业是绝佳机遇。

晶圆制造环节之后是晶圆加工,晶圆加工指在晶圆上制作逻辑电路的过程,主要包括在硅片上进行镀膜、光刻、刻蚀和掺杂等四大类基本操作。需要PECVD、LPCVD、光刻机、刻蚀机、离子注入机、扩散炉等设备。

在整个加工过程中,所有硅上方的材料都是互连芯片上各个器件所需的分层结构的组成部分,为了增加多层金属和绝缘层,需要在硅片上进行不同工艺步骤的循环。

IC设计

IC设计

IC封测是IC生产的后段环节,对晶圆进行减薄、切割、贴片、引线键合、封装、测试等过程,需要减薄机、引线键合机、切割机、清洗机等设备。

硅片测试中的高废品率会使得客户产品在使用过程中失效,导致其选购其他芯片,削弱芯片制作者在短周期内占领市场的能力,为避免这种情况,正确的测试程序是必须的。而封装行业已从早期的高劳动密集型行业,发展成为现在的高度自动化与产品性能提升过程中的重要推力。

IC设计

1.3.      设备市场增速剧增,大陆晋升半导体设备第三大市场

根据日本半导体制造装置协会统计的数据,2017年全球半导体设备总销售额为566亿美元,同比增长37.3%。分区域来看,中国大陆设备销售额82.3亿美元,占14.5%的比例,韩国为全球最大市场,销售额达到179.5亿美元,占比高达32%,其次为***20%,日本为11%,北美为10%。大陆销售占比从2005年的4%上升为2017年的14.5%,并且超过了北美和日本成为第三大市场。

IC设计

自“十二五”以来中国设备市场销售额自2012年2017年保持着26.9%的复合增速,同期全球销售额增速仅为8.9%,大陆销售额占比也从2012年的6.8%上升到2017年的14.5%,国内下游集成电路应用市场的持续扩张也有望带动半导体设备销售持续增长。

IC设计

从整个产业链看,半导体设备与材料分别以数百亿的行业规模支撑了下游半导体产业数千亿的需求市场,根据美国半导体产业协会(SIA)的数据,2017年全球半导体产业销售额高达4,058亿美元,2017年半导体设备与材料销售额分别为556亿和469亿美元,也间接说明制造环节为企业带来的高附加值。

IC设计

1.4.      国际集中国内分散,国产半导体设备急需突破

从国际上看,虽然中国设备市场占比逐年增加,但目前主要生产企业主要集中于欧美、日本、韩国和***等国家和地区。其中具有代表性的包括美国应用材料(AMAT)、荷兰阿斯麦(ASML)、美国泛林半导体(Lam Research)、日本东京电子(Tokyo Electron)和美国科磊(KLA-Tencor)等起步较早的国际知名企业,它们凭借资金技术等优势占据了全球设备市场的绝大多数份额。

IC设计

细分领域中,龙头集中的现象依然明显。根据2017年SEMI公布的数据,在集成电路制程中,前段晶圆制造设备投入占比约占设备投资的80%,而后段封装、测试设备投入占比分别为9%和6%。前段制程中由于需要多次进行光刻、沉积、刻蚀等工艺处理,对设备的精度和稳定性要求最高。

IC设计

根据Gartner的数据,2016年半导体设备主要细分领域前三名厂商占有率都达到了70%以上,光刻机龙头ASML和PVD龙头应用材料更是分别占据了细分市场75.3%和84.9%的市场份额。这就意味着集成电路生产设备,如光刻机、刻蚀设备、PVD、CVD等附加值最大的部分都被海外公司垄断,国内企业急需打破僵局。

IC设计

相比之下,大陆半导体设备企业起步较晚,市场集中度也很低。2016年大陆前十企业总收入约为47.57亿元,占国内设备市场份额仅为11.71%,占全球市场不足2%,使得我国高端晶圆制造设备基本依赖进口,国产化率较低,国产半导体设备急需突破。

IC设计

1.5.      贸易逆差现象严重,国内供需结构急需改善

我国是全球半导体最大的市场,并且正以高于全球的平均速度发展。根据世界半导体贸易统计组织(WSTS)的统计,2017年我国半导体销售额达到了1,315亿美元,全球占比从2014年的27%增长到2017年的32%,同期美国、日本和欧洲占比分别为21%、9%和9%。

尽管如此,我国进口依赖的局面依然存在,但根据海关总署提供的数据,2017年我国集成电路进口金额同比增长12.7%,达到2,588亿美元,是同年原油进口金额1607.5亿美元的1.6倍,贸易逆差达到了1,925亿美元。足见我国集成电路供需发展的失衡性,在国内需求快速增加的情况下,改善本土半导体产业链是大势所趋。

IC设计

除了下游之外,我国市场环境属于供需层层不匹配的状态,虽然大陆设备销售额占全球比例不断提升,2016年达到64.6亿美元,但其中国产设备销售额仅仅达到了25亿元人民币,占比不到8%,一方面是不断提高的市场需求占比,一方面是难以提升的国产化设备占比,设备销售的乏力与国内设备的大量需求并不匹配。

IC设计

2.      乘行业发展巨浪,国产半导体设备强势起航

2.1.      半导体周期已至,大陆半导体产业受益最大

2.1.1.       半导体行业重回景气周期,创下历史新高

理论上看,全球半导体行业具有技术呈周期性发展、市场呈周期性波动的特点。20世纪初,随着互联网泡沫的破裂,2001年全球半导体市场下跌32%;随后随着新一轮PC换机潮的到来,半导体市场与2002-2004年进入了高速增长阶段,2005年开始回落,之后受到金融危机影响出现了负增长;2010年随着全球经济好转,全球半导体产值增长了34.4%,2011-2012年受欧债危机、美国量化宽松货币政策、日本地震及终端电子产品需求下滑影响,半导体销售增速分别降为0.4%和-0.7%;2013年始,PC、手机、液晶电视等消费类电子产品需求不断增加,全球半导体产业恢复增长,增速达4.8%,2015-2016年,销售逐渐疲软;2017年随着新一代智能手机、物联网、人工智能、5G等下游的兴起,全球半导体重回景气周期。

IC设计

从数据上看,根据WSTS的数据,2017年半导体销售额高达4,122亿美元,同比增长21.6%,创下历史新高。存储器同比增长61.5%,远高于其他半导体成分9.9%的增速,印证了“存储器是集成电路产业的温度计和风向标”之说。根据WSTS、SIA、SEMI等多家产业协会和咨询调研机构做出的发展评估,2018年半导体产业收入增速将达到7.5%左右,收入规模约为4,411-4,440亿美元左右。

2.1.2.       需求回暖带动上游设备持续向好

理论上看,半导体设备与半导体产业进步呈现同周期规律,半导体产业离不开半导体设备的不断创新,随着制程的进一步提升,对于设备的要求也越来越高,这对于设备企业来说是难得的机遇。同时,技术的进步也带动设备单价与研究壁垒的提升,龙头企业拥有一定护城河,在发展过程中将做到强者恒强。即便如此,随着摩尔定律逐渐逼近极限,海外企业在高端制程的研发进度将会放缓,这就为国内半导体设备厂商提供了弯道超车的时间条件。

IC设计

从数据上看,全球半导体设备销售、资本开支均保持增长。2017年半导体销售额在超过市场预期的同时,也使半导体设备的景气度大幅上升。全球半导体设备在2017年达到了37.3%的快速增长,北美半导体设备制造商全年出货额同比增长38.9%,达到256亿美元,比较历史数据我们同样发现,半导体设备与半导体产业呈现同步周期规律,上下游具有联动效应,下游需求的爆发会带动整个产业链的发展。

IC设计

资本开支方面,全球主要半导体厂商2017年资本开支均保持上升态势,有望达到723亿美元,增长6.4%,根据IC Insights预测,2018年、2019年资本开支仍将持续上升,预计增长5.3%和6.4%。在此环境下,半导体设备有望受益于下游需求上升而持续其上行周期。

2.1.3.       第三次产业转移如火如荼,大陆成为核心地区

纵观历史,全球半导体经历过两次产业转移,第一次发生在上世纪80年代,是美国向日本以家电行业为主导的装配产业的转移,使得日本稳固了其家电行业的地位。第二次则发生在上世纪90年代,得益于日本经济泡沫破灭,使其巨大资本开支难以维系,韩国和***抓住机会,在强大资金的支持下,确立了在PC和手机端的全球芯片霸主的地位,***更是看中了晶圆代工的市场,着力发展代工产业,由此完成了第二次产业转移——由日本向韩国、***地区的转移。

从过往产业转移过程来看,半导体全球级霸主的产生往往伴随着新应用新市场的快速崛起和国家财政的大力支持。目前我国半导体产业正处于新一代智能手机、物联网、人工智能、5G等行业崛起的过程中,应用市场需求庞大;同时政府以多项文件、专项计划大力支持,又通过大基金进行资本投入,使得我国兼具着产业转移的两大历史条件,有望成为第三次产业转移的最大受益者。

IC设计

根据SEMI research的数据,受到全球集成电路需求的影响,直到2020年,全球预计将会有62座半导体晶圆厂投产,其中有26座位于中国,其中包括英特尔、三星、台积电、格罗方德等全球大型半导体公司投资的晶圆厂,我国已经成为半导体第三次产业转移的核心地区。

2.2.      政策与大基金共抗海外技术垄断

2.2.1.       国外企业在半导体关键设备领域具有绝对优势

如前文所述,中国作为全球半导体行业最大的市场,本土产业链却存在严重缺陷,与以美日为主导的国际企业相比仍相形见绌。国内设备厂商与国际龙头无论是在销售规模还是在技术积累上都存在较大差距。例如在2016年,以CVD、刻蚀机等设备为主要业务的美国应用材料公司设备收入高达76亿美元,而同期我国半导体设备销售额仅为425亿元,约合64亿美元,不及全球龙头一家公司的收入规模。

具体来看,目前世界集成电路设备制程正处于7nm的研发与14nm的批量生产阶段,而中国还处在14nm的研发与65-28nm的生产阶段,落后国际先进水平一到两个世代,随着下游需求的不断增加和应用场景的日益丰富,高端产能扩张的需求将会快速上升,我国迫切需要走进先进制程。

2.2.2.       国内破局,政策与大基金发力国产设备崛起

由于半导体行业具有资金密集、技术密集的特点,也就造就了其政策驱动的特性。正如前文所提到的***半导体产业的发展之路一样,我国近年来出台了一系列产业政策与国家发展基金,以促进半导体产业自主发展。一方面说明改革迫在眉睫,另一方面彰显国家对集成电路产业发展的决心。

IC设计

早在2006年,国务院发布的《国家中长期科学和技术发展规划纲要(2006-2020年)》就确定了“极大规模集成电路制造技术及成套工艺”重大专项的地位,后简称“02专项”。自专项于2008年正式实施以来,我国在半导体设备领域实现了刻蚀机等关键设备的从无到有,在55/40/28nm三代制程实现量产,20-14nm制程取得突破,工艺水平快速提升5代,扭转了工艺全套引进的被动局面。

此外,国务院于2014年6月发布的《国家集成电路产业发展推进纲要》提出要突破集成电路关键设备,研发光刻机、刻蚀机等关键设备,增强产业配套能力。2015年5月,国务院印发《中国制造2025》,明确提出在2020年之前,90-32nm设备国产化率达到50%,2025年之前,20-14nm设备国产化率达到30%,并明确将集成电路放在发展新一代信息技术产业的首位。2016 年5 月,国务院印发《国家创新驱动发展战略纲要》,提出要加大集成电路的技术攻关和推广力度,为我国经济转型升级和国家安全提供保障。2016年12月,国务院印发了《十三五国家战略性新兴产业发展规划》,部署了包括集成电路发展工程在内的21项重大工程。在2018年政府工作报告中,政府首次将集成电路放在重点推进产业的首位,彰显对集成电路发展的决心,为市场打下了一剂强心针。

资金方面,2014年9月,在财政部和工信部共同推动下,国家集成电路产业投资基金(简称“大基金”)正式成立,首期募集资金规模达1387亿元。据国家集成电路产业投资基金股份有限公司总裁丁文武先生介绍,截至2017年底,国家大基金共投资49家企业,累计有效决策投资67个项目(其中包括约24家上市公司),累计项目承诺投资额和实际出资分别达到1188亿元和818亿元,分别占一期募资总金额的86%和61%,大基金一期已基本投资完成。

IC设计

《国家集成电路产业发展推进纲要》的发布和国家集成电路产业投资基金的成立,使得集成电路产业已经形成国内各行业中最为完备的政策支持体系,集成电路产业迎来了大发展时机。

大基金的投资范围包括集成电路的整条产业链,其中制造、设计、封测、设备材料各环节投资占比分别为63%、20%、10%、7%,主要投向了中芯国际等集成电路制造环节厂商。制造环节位于产业链偏下游位置,在加大制造业投资、扩大产能的同时可以加速带动上游产业扩张,重塑全产业链架构使得国内半导体产业的虚拟IDM模式不断完善。

IC设计

近日,大基金二期募资也已经启动,拟募资1500-2000亿,有望在设备制造、芯片设计和材料领域加大投资,抓住国内产能扩张的时间窗口,进一步串联整条产业链。同时,考虑到资金具有的放大效应,其将会通过大基金、地方基金、社会资金以及相关的银行贷款等债券融资,按照1:3的撬动比例,撬动社会资金4,500亿至6,000亿元。外加大基金一期及其撬动的社会资金,国家集成电路产业投资基金总募集规模有望达到一万亿元。

2018年3月底,财务部等三部门下发《关于集成电路生产企业有关企业所得税政策》,定向扶持集成电路制造产业,该政策将对2018 年1 月1 日后投资新设集成电路生产企业或项目:①线宽小于130 纳米且经营期在10 年以上的,第1-2年免征企业所得税,第3-5年按25%法定税率减半征收企业所得税;②线宽小于65 纳米或投资额超过150 亿元,且经营期在15 年以上的,第1-5 年免征企业所得税,第6-10年按25%法定税率减半征收企业所得税。此政策一方面通过对国内制造业企业的直接减税,使得盈利能力可获得较大程度的提升;另一方面有望进一步激发制造企业产线投资积极性,强化上游设备需求,扩大设备市场规模。

在政策与资金的共同推动下,国产设备发展取得了长足的进步:以02专项实施最早的硅刻蚀机为例,于2003年启动时,与国外相差20多年的差距;经过这些年的发展和国家专项的大力支持,北方华创每一代的设备推出后,差距都在缩小。2016年14nm的刻蚀机进入生产线时,技术差距基本缩小到2-3年。

2.3.      国内建厂潮带来行业机遇

我们统计了23个国内目前正在进行或计划进行的12寸晶圆厂建厂计划,其中内资晶圆厂13个,外资晶圆厂10个,制程工艺水平高至14nm,低至150nm,应用包括了存储、驱动、代工等领域,覆盖面极其广泛,新增月产能合计达到了156万片。

IC设计

为测算上述扩产计划会具体带来多少设备需求,我们做出如下假设:

①资金占比:总投资额中,85%为厂房与设备投资的非流动资金,其余15%为辅底流动资金;非流动资金中75%为设备投资金额,其余25%为厂房建设投资金额。

②时间分配:开工后一年内进行厂房建设,开工后第二年开始进行设备投资,第二年至第四年设备投资比例分别为40%、40%和20%

根据以上假设,我们测算出2018至2020年国内产线规划所决定的设备需求为1,605、1712和1,056亿元,前两年因产线陆续进入设备采购阶段,设备需求有所增加,第三年因为部分产线投资已经结束或接近尾声,设备需求有所放缓。但我们认为在整个产业转移的大背景下,随着未来更多产能扩厂计划的披露,设备需求将会持续增长。

IC设计

2.3.1.       三大类设备占据超七成的晶圆设备需求

在设备投资中,80%的比例为晶圆制造设备,测试设备和封装设备分别占9%和6%,剩余5%为净化系统等其他设备。在晶圆设备中,光刻、成膜和刻蚀设备占比最高,可分别达到30%、20%和25%。

IC设计

薄膜沉积:IC制造最基本工序

薄膜沉积是一系列涉及原子的吸附、吸附原子在表面的扩散及在适当的位置下聚结,以渐渐形成薄膜并成长的过程。通过薄膜沉积,可以给材料的表面覆盖上一层薄膜已达到特定的特性。在晶圆制造过程中,为了实现芯片的设计效果需要在硅片上集成复杂的电路,这些结构层大部分是采用薄膜沉积的方法实现的。特别是在硅片完成清洗步骤后,往往要经历沉积-刻蚀-沉积的反复过程,根据每层表面介质的不同,可能采用不同的沉积方法,从而形成晶圆复杂的分层效果。

IC设计

薄膜沉积的过程可分为物理气相沉积PVD和化学气象沉积CVD两类。顾名思义,物理气相沉积的过程中不发生化学反应,只发生物质的相变等物理变化,如蒸镀过程是将固态蒸镀源转换为气态,再在目标表面形成固态膜的过程。而CVD则通过化学反应进行,将反应源以气体形式通入反应腔中,经过与其他外部反应物或与基板进行化学反应形成目标生成物沉积于基板上。

全球范围来看,应用材料(AMAT)在CVD和PVD设备领域都保持领先地位,自1992年以来,应用材料就是全球最大的半导体设备供应商,在薄膜设备领域也保持着行业龙头的地位,PVD市占率接近65%,CVD市占率接近30%。而相对于其他设备,成膜设备是国产化进程相对较快的设备类别,北方华创、沈阳拓荆等公司正在不断突破,北方华创的28nm Hardmask PVD设备,实现了我国PVD设备零的突破和技术跨越,已被指定为28nm制程工艺的Baseline机台,率先进入国际供应链体系。

IC设计

光刻:集成电路制造最关键工序

光刻工艺是最复杂的工艺,光刻机是最贵的机台。

光刻技术指利用光学- 化学反应原理,将电路图形传递到晶圆表面,形成有效图形窗口的工艺技术,而光刻机是光刻工序中的曝光工具。主流微电子制造过程中,光刻是最复杂、最昂贵,同时也是最关键的工艺。光刻工艺决定着整个IC工艺的特征尺寸,代表着整个半导体制造工艺发展的水平。从成本角度讲,光刻机是生产线上最贵的机台,价格可达到千万-亿美元/台。

而每一次工艺节点的进度背后都是光刻设备的重大革新,光刻设备从光源(从最初的g-Line, H-Line发展到极紫外EUV)、曝光方式(从接触式到步进式,从干式投影到浸没式投影)不断进行着改进。

IC设计

从成本角度讲,光刻机是生产线上最贵的机器,价格可达到千万-亿美元/台。价值主要集中在由15~20个直径为200~300mm的透镜组成的成像系统和定位精度小于10nm的定位系统上。

荷兰的ASML以其EUV光刻机占据着全球超过70%的高端光刻机市场,市场地位无可撼动。其最新的光刻机产品售价高达1亿美元,依旧处于供不应求的情况。上海微电子是国内光刻机厂商领先者,其已量产的光刻机中性能最好的是90nm光刻机。由于技术难度巨大,短期内还是处于相对劣势的地位。

刻蚀:最小线宽的决定性工艺

刻蚀(etching)是半导体器件制造中利用化学途径选择性地移除沉积层特定部分的工艺。刻蚀工艺对设备精度要求非常高,一台刻蚀机的准确度要达到99.99%才能满足整个硅片的良率要求。因为如果刻蚀过程中出现失误,将造成难以恢复的硅片报废。美国应用材料公司副总裁Shankar Venkataraman博士就曾表示,“生产先进芯片的一个重要壁垒是在一个多层结构芯片中有选择性地清除某一特定材料,而不破坏其他材料”。因此,刻蚀机在晶圆生产线中的成本占比仅次于光刻机,其发展也在一定程度上决定着摩尔定律能否继续向前发展。

在8英寸晶圆时代,刻蚀设备主要分为介质、多晶及金属刻蚀三大类,进入12寸时代后,随着铜互连的发展,介质刻蚀份额逐渐加大,目前已超过了50%。随着器件互连层数增多,介质刻蚀设备使用量有望进一步增大。在这样的趋势下,刻蚀机龙头泛林集团(Lam Research)利用其较低的设备成本和简单的设计,逐渐在65nm、45nm设备市场超过TEL等企业,占据了大半个市场,成为行业龙头。

虽然国产刻蚀机的市场份额仅有6%,但国内企业也正在高端制程上不断发力。中微半导体的16nm刻蚀机已经实现商业化量产并在客户的产线上顺利运行,7-10nm刻蚀机设备可以与世界最前沿技术比肩。北方华创8英寸高密度等离子硅刻蚀机已进入中芯国际产线,深硅刻蚀设备也挺近了东南亚市场。

IC设计

2.3.2.       建厂潮将为国产设备带来超过250亿的市场空间

基于国内各类设备投资金额占比和国产化率趋势,保守估计三大核心设备国产化率以每年增加1个百分点的速度稳步提升,其他非核心设备使用与薄膜设备同样的国产化率,即对2018年至2020年的国产化率做出如下预测:

IC设计

结合我们之前做计算的建厂潮带来的设备投资额,我们推算出2018至2020年国产设备需求至少可以达到84亿、102亿和72亿元,三年合计可达到至少258亿元的市场规模。随着产业转移的不断进行和新建产线的持续披露,预计将会有更大的销售规模。

IC设计

2.4.      政策资金支持人才培养,研发投入成为企业制胜关键

同样,半导体的技术密集型特点也体现在人才的积累与研发投入上。《国家集成电路产业推进纲要》同样提出,要加大人才培养和引进力度,为集成电路人才引进提供经济保障,加大集成电路领域优秀人才的支持力度。《中国制造2025》也将“人才为本”作为了基本方针之一。

根据2017年5月工信部软件与集成电路促进中心(CSIP)发布的《中国集成电路产业人才白皮书(2016-2017)》,到2030年我国集成电路产业规模将扩大5倍以上,目前相关从业人员总数不足30万人,需要70万人才能填补人才总量的缺口。《白皮书》认为我国集成电路产业人才供给与产业增速存在不匹配现象,仅依托高校不能满足发展需求,要做到“产学研”融合培养。

2017年10月,国内晶圆代工龙头中芯国际成功聘用原台积电自身研发处处长、三星研发部总经理梁孟松作为公司联席CEO。梁孟松在三星就任时期,曾帮助三星从28nm制程到14nm制程的飞跃,快速完成对老东家台积电的赶超。足见具有丰富经验的科研工作者在促进半导体技术发展中起到的关键性作用,而引进海外人才也成为我国半导体设备企业可行之路。

IC设计

在设备市场上,美国半导体设备龙头应用材料在2017财年投入17.74亿美元作为研发费用。从2005至2017财年,研发费用对收入占比也始终保持在14%左右,尤其是在营收大幅下降38.3%的2009年金融危机时期,研发费用占比反而有所提升,达到18.6%,公司一直把新产品研发作为公司重要的发展战略。尤其在近年先进世代线技术发展的过程中,公司加大了研发投入,在2017年同比增长了15%,达到金融危机以来最大增速。全球光刻机霸主ASML也同样注重研发,近十年来研发费用率始终保持在16%左右,并且为了配合先进世代线的发展,同样在近两年开始加大研发投入力度。

IC设计

参考后文中国际半导体设备龙头对研发的重视我们认为,研发是驱动半导体设备企业发展的核心。而对于技术并不足够成熟的国内企业,为了高速发展完成赶超必须大力投入研发,牺牲的利润换来的将会是未来更大的增长。

3.       国产设备短期受益于建厂潮,长期受益于国产化率提升趋势

3.1.     新增产能精度增速开始降低,中低端需求仍然存在

随着摩尔定律逐渐逼近极限,海外企业在高端制程的研发进度将会放缓,这就为国内半导体设备厂商提供了弯道超车的时间条件。

摩尔定律是由英特尔(Intel)创始人之一戈登·摩尔于1965年提出的“集成电路芯片上所集成的电路的数目,每隔18个月就翻一倍”,多年来一直被半导体界奉为金科玉律,但是近年来随着制程进入20nm以下,逐渐逼近现有工艺以及材料物理的极限,提高制程的难度和成本都开始飞速抬升。而为了延续半导体产品的性能提升以及成本下降,代工企业已经开始通过根据产品需求合理搭配技术,并升级封装工艺以完成“超越摩尔”的目标。台积电以16nm制程工艺搭配自主开发的InFO WLP技术提供的更薄更小的封装方案,击败三星的14nm制程工艺独吞A10 订单,就是一个经典案例。

IC设计

目前国内对先进制程的掌握程度并未达到国际先进水平,代工龙头中芯国际也还处在28nm成熟制程的爬坡与14nm制程的攻坚阶段,而国际代工龙头台积电已经完成了10nm的量产爬坡,并已开始7nm的量产,国内在先进制程的能力不足使得扩产主要集中在中低端制程领域。

另一方面,虽然从理论上讲高制程带来了更低的开关能耗和更快的运行速率,但随着研发难度和生产工序的增加,制程演进的性价比提升趋于停滞,造成了“28nm 长制程”的现象。20nm和16/14nm制程的成本一度高于28nm,这是摩尔定律有效运行60多年来首次遇到制程缩小但成本不降反升的问题。

IC设计

根据我们统计,在国内已披露的23条新增晶圆厂中,除去尚未披露制程规模的厂商,具有28nm以上(不含28nm)制程生产计划的晶圆产线只有6家,总计划投资额约合2,846亿元,仅占全部投资额的29%。

3.2.       半导体行业正处于周期性向成长性转变的过程中

半导体作为电子产业链的上游行业,其周期性的供需两端分别来自于下游各行业需求的波动和上游集成电路供给的波动。而此集成电路的供给又成为了半导体设备的需求端,而下游电子产业又受经济周期变动影响巨大,从而传导至最上游为半导体设备带来周期性。

为确定半导体周期性传导关系,我们使用数据记录周期更长的北美半导体设备制造商出货额月度同比作为上游设备景气度指标,下游半导体采用美国半导体产业协会统计的半导体销售额数据。通过数据分析与比较,我们发现以下趋势:

2012年之前,半导体销售额与设备销售额具有明显的周期性与相关性,同时半导体销售总是先于设备到达周期的波峰或波谷,并且设备波动总是大于下游半导体销售波动。2012年以后,两者震荡幅度明显减小,周期性有所减弱。

IC设计

从需求来看,在过去,半导体行业下游应用较为单一,需求变化与晶圆厂扩产的错配

现象为行业带来周期性波动。2012年起,智能手机迅速崛起,使得半导体市场由之前的单一市场驱动发展为智能手机+计算机的双下游驱动,市场的分散化有效缓解了需求端的波动性。此外,2016年以来,智能手机从增量市场进入存量市场,出货量表现出疲软态势,2018年以来国内智能手机出货量更是出现了负增长,而半导体销售额却以保持了20个月的连续增长,2018年一季度同比增速更是超过了20%,这也侧面反映出新兴市场的发展对半导体产业发展的推动作用。

IC设计

从供给端看,理论上讲半导体销售的变化对半导体企业资本自出和设备需求具有推动作用,但由于信息或订单的传导需要时间,导致半导体的拐点总是先于设备到来,例如在2001年9月,半导体销售额同比降低44.6%,为当期最低增速,而半导体设备到了11月才到达底部;到2009年2月,受金融危机影响,半导体产业大幅下跌,与当年3-4月达到低点,而彼时设备行业还在下降过程中,并于4-5月触及谷底;到了2010年,整个电子产业开始复苏,市场需求带动上游需求大幅增加,于当年2-3月达到增长高峰后回落,而半导体设备销售额在5-6月进入顶部后开始回落。

全球半导体行业已经不断发展几十年,半导体销售规模从2001年的1,768亿美元增长至2017年的4,122亿美元,以5.4%的复合增长率持续增长着,但同时,龙头企业始终保持着高于行业平均增速的增长速度,以晶圆代工行业龙头台积电为例,公司自2001年开始以13.7%的速度持续增长,市占率已经在2017年达到了55.9%,此时第二名的格罗方德仅有9.4%,由于代工行业具有资金密集、技术密集的特点,使得行业壁垒高于大部分其他半导体环节,也促使拥有技术和资金自给自足的特点加速市场份额的提升。

半导体作为一个历史悠久的周期性行业,在每一次供给端收紧的过程中都为行业整合带来推动力。以存储器龙头三星为例,2008年金融危机时,DRAM价格暴跌就成,三星却利用上一年利润的118%进行产能扩充,并发起价格战致使德国厂商奇梦达和日本厂商尔必达分别在2009和2012年破产,尔必达后被美光低价收购;2011-2012年,DRAM市场热度再次退去,三星却又一次加大投资,两年内资本支出均不低于170亿美元,在2013年行业复苏前占得先机,并进一步挤出竞争对手,使得***茂德于2012年破产,华亚科于2015年被美光收购为全资子公司,自此业内仅剩三星、SK海力士、美光三大玩家,其中三星和SK海力士占据75%的市场份额。

IC设计

参考从1999年到2017年的半导体销售额年度变化的波动趋势我们再次发现,整个半导体销售额的波动逐年减小是一个长期的过程,波动幅度从2000年前后的50%,下降到2009年前后的41%,到2016年前后缩减到了20%。周期性有明显的弱化。2018年以来,已创纪录地连续三个月保持着20%以上的同比增长,成长性开始强化。

成长性的提升带来行业持续的增长,而作为上游的半导体设备行业也开始了它的持续增长之路,北美半导体设备制造商销售额自2016年10月份以来,已连续增长了18个月。根据SEMI预估,2018年全球半导体设备销售额增速将达到9%,而随着2017年中国晶圆厂开始大规模兴建,中国将成为主要增长引擎,SEMI预测2018年年中国设备销售额成长幅度最大,将同比增长49.3%,达到113亿美元,成为仅次于韩国的第二大市场。大陆在设备行业景气度持续提升和国内需求爆发的双重作用下所孕育的绝佳土壤,为设备企业带来了生长机会。

3.3.       短期受益于扩产需求,长期受益于国产化率提升

肥沃的土壤必然会为企业带来做大做强的可能性,同时通过研发形成的技术优势也是企业发展的关键。

目前以北方华创、中微半导体、盛美半导体等为代表的主要半导体设备国内厂商已经在多类产品上实现了批量生产和测试。我国总体工艺水平28nm的国产设备覆盖率已经达到了17%-18%,刻蚀机、离子注入机、PVD、CMP等16种关键设备以经过大产线考核,光刻机突破90纳米曝光分辨率,另外有9项应用于14nm的国产设备开始进入验证阶段。

IC设计

与此同时,国产设备的客户接受度也在不断增强,在2017年统计的主流65-28nm客户不定量的采购的12类设备清单中可以看到,总采购量已经超过了200台,今年将会进一步提升。

IC设计

我们认为,国内半导体设备企业在2018至2020年的成长主要来自于国内产能扩张所带来设备需求的被动拉动,根据我们先前的统计、测算与假设,2018年至2020年在建的28nm及以下制程的产线共有17条,总设备投资额分别为1,257亿元、1,182亿元和563亿元,根据28nm设备国产化率17%-18%,假设这部分设备国产化率水平达到20%,那么将会分别带来251亿元、236亿元和113亿元的国产设备市场空间。

而随着国家政策与资金的持续支持,以及不断加强的研发投入,国内半导体设备企业将有望在高端制程中取得不断突破,例如北方华创已将10nm、7nm前沿关键技术的研发定为公司重点工作之一。设备企业在追赶的过程中将持续推进设备国产化率的提升,以完成中国制造2025所定下的目标:在2020年之前,90-32纳米工艺设备国产化率达到50%,2025年之前,20-14纳米工艺设备国产化率达到30%。这将为整体行业带来第二波成长机会。

4.      综合型VS专业型,探索不同战略选择的共通之处

半导体设备公司可分为覆盖多种设备的综合型公司以及专注某些细分领域的专业型公司,两者在产品结构、并购风格上有所不同,但对研发投入和自主创新的态度高度一致。下面分别以AMAT和北方华创作为综合型设备商代表,以ASML和中微半导体作为专业型设备商代表,分析其发展路径。

4.1.       综合型公司发展路径——AMAT和北方华创

4.1.1      AMAT:多样化并购、市场扩张与内部创新相结合

AMAT(应用材料)公司是半导体设备全球龙头,规模最大,设备种类最多,是综合型公司的最典型代表。

公司产品种类繁多,生产的半导体设备覆盖原子层沉积、化学气相沉积、物理气相沉积、电气化学沉积、外延工艺、刻蚀、离子注入、测量与检测、快速热处理等,几乎包揽光刻机以外的半导体制造各环节所需设备,是三星电子、台积电、美光、英特尔等巨头的设备供应商。

IC设计

回顾AMAT的发展历程,首先,公司产品线的拓展离不开积极的多样化的并购活动。作为半导体设备综合平台,要保证广泛的产品覆盖度,公司不得不面临技术研发投入大、研发周期长、失败风险高、技术更新迭代迅速的问题,而多样化的外延并购可以加快公司技术更新速度,适应市场需求,并降低内部研发失败的风险。

IC设计

自上世纪90年代中后期起,AMAT进行了一些列并购。1997年,AMAT收购以色列公司Opal Technologies和Orbot Instruments,以切入集成电路监测与控制设备领域;次年,收购Consilium公司,以通过其MES系统提高生产效率;2000年,收购Etec Syetems公司,切入光罩和薄膜晶体管阵列测试领域;紧接着在2001年收购Oramir半导体设备公司,以获得该公司的半导体晶片激光清洗技术,对公司现有的晶片检测系统进行补充;2006年,通过收购薄膜沉积设备供应商Applied Films公司,成功进入太阳能电池和相关设备市场,产品线得到大举扩充;2008年,收购意大利Baccini公司,以开拓意大利市场,并扩大自身在太阳能面板制造设备市场的影响力;次年,公司在西安建太阳能研发中心并收购Semitool公司,以提高公司在晶圆级封装和存储器铜互连工艺这两大快速增长市场上的地位;2011年,收购芯片设备制造商Varian,以提高公司在离子注入系统和晶体管生产方面的技术。这些并购活动壮大了公司的规模和主营业务,并在公司增速放缓、市场份额已难以提高之时为其提供了新的增长驱动力,使公司一直得以在多个领域维持有竞争力的市占率。

IC设计

其次,公司顺应产业转移趋势,积极进行全球布局,扩大市场。上世纪70年代,美国对日本进行以家电行业为主导的装配产业转移,这是历史上第一次半导体产业转移。基于此,1979和1984年,AMAT的日本子公司和技术研发中心相继设立。1979至1983年期间,公司在日本地区销售额年均复合增长率达93%,1983年日本销售额占公司总销售额比例达到30%。上世纪90年代,日本经济泡沫破裂,出现了从日本到韩国和***的第二次半导体产业转移,韩国和***借此机会确立了在PC和手机端的芯片霸主地位,AMAT于1985和1989年分别设立韩国办事处和***办事处。此外,公司于1984年开始进入中国市场,成为第一个在中国内地设立客服中心的半导体设备商,于1991年建立新加披办事处,在欧洲的苏格兰、德国也设立了营业部。广阔的全球市场使公司营业额不断实现突破。

最后,AMAT始终注重内部技术研发,每年在研发上投入不低于营收的11%,团队成员中30%为专业研发人员,有业界最强的知识产权储备,拥有12000项专利,平均每天申请4个以上专利,旗下的梅丹(Maydan)技术中心耗资数十亿美元,致力于先进芯片制造,是全球最先进半导体研发实验室之一。高强度的研发使公司核心设备技术始终领先全球。

IC设计

4.1.2      北方华创:资源重组、内生研发与外延并购的结合

北方华创是国内半导体设备龙头,由七星华创和北方微电子重组而成,实现资源优势互补,在整个泛半导体领域涵盖了集成电路、先进封装、LED、MEMS、电力电子、平板显示、光伏电池等半导体相关领域,拥有着比较完整的泛半导体设备产品线,并在诸多设备上都取得了一定的成就。

IC设计

公司注重内修,2016年研发费用为7.6亿元,占营业收入47%。虽然绝对值远不及国际巨头,但研发费用率更高,表现出强烈的赶超意愿,而这离不开政府资金的支持,2017年5月,公司收到用于国家科技重大专项“14nm立体栅等离子体刻蚀机研发及产业化”的国家科技重大专项项目经费9,423万元,用于“28-14nm 原子层沉积系统(ALD)产品研发及产业化”项目经费4,811 万元以及“14-7nm CuBS 多工艺腔室集成装备研发及产业化”项目经费资金 4,746 万元。人才方面,公司拥有中组部“千人计划”专家10名,北京市“海聚工程”专家11名及数十名海外专家组成的研究队伍,更拥有北京市领军人才团队、首席技师工作室、国资委优秀科技创新团队等各类管理与技术优秀人才团队。公司2016年技术人员占比接近三分之一。

IC设计

此外,通过外延扩张,合理并购,公司得以强化产品性能,拓展产品线。2017年8月,公司全资子公司“北方华创微电子”拟在美国设立子公司,并以1,500万美元收购美国Akrion Systems LLC公司,双方于2018年1月完成交割。Akrion专注于半导体硅晶圆清洗设备,产品下游应用领域与公司基本重合,收购完成后,公司在清洗机领域已拥有单片与批式清洗两大产品线,产品结构更加完善,公司技术与客户积累也得到强化。

4.2.      专业型公司发展路径——ASML和中微半导体为例

4.2.1      ASML:持续研发投入的同时专注于专业化并购

ASML是全球最大的光刻机设备提供商,在该领域具有垄断地位,16年市占率达80%,是专业型公司的最典型代表。

公司专注于光刻设备生产,主要提供DUV光刻机、EUV光刻机和综合性光刻解决方案,主要客户包括三星、台积电、英特尔。

IC设计

ASML在业内的不可替代性主要来源于对光刻技术的高强度研发投入和专业并购。

研发上,一方面是公司内部持续不断的高投入。公司每年研发费用不低于总营收的10%,目前19000名员工中研发人员超过7000人,占比接近40%。2017年7月,公司成功发布用于7 nm/ 5nm节点的整体光刻产品套件。该产品套件由TWINSCAN NXE:3400B EUV光刻系统,TWINSCAN NXT:2000i浸入式系统和HMI eP5电子束计量系统组成,使芯片制造商能够在7 nm/ 5nm逻辑和16nm DRAM节点上开发,优化和控制生产工艺。

IC设计

另一方面,ASML推行技术合作开发战略,专注核心客户的技术需求,利用客户入股注资和科研经费提供加快研发进程。2012年,为加快450mm晶圆技术和下一代EUV光刻技术的研发, ASML与英特尔签订协议,英特尔对ASML进行32亿美元股权投资,并在5年内提供8.29亿美元的研发经费,并承诺从ASML提前订购特定数量的订单。同年,台积电和三星也分别入股ASML,当年8月台积电加入ASML的客户共同投资计划,出资8.38亿欧元购买ASML的5%股权,并提供2.77亿欧元分5年投入到ASML的研发项目中,三星则出资7260亿韩元购买ASML的3%股权。

IC设计

除此之外,ASML还借助一系列专业性的并购,为业绩增长和光刻技术进步提供动力。1999年,为增加聚焦深度,改善机器成像能力,扩大光刻窗口,ASML收购MaskTools;2001年,收购Silicon Valley Group并将之作为主要的研发和制造中心,同年公司推出采用双工作台的TWINSCAN系统;2007年,收购了领先的半导体设计和制造优化解决方案提供商BRION,这是公司“综合光刻”战略的开端,扩充了ASML在光刻系统方面的技术;2013年,收购光刻光源制造商Cymer,以加速EUV的发展;2016年进行了两笔重要的收购,一是与其曲面反射镜提供商卡尔蔡司进行战略合作,收购其子公司Carl Zeiss SMT的24.9%股权,合作开发High-NA EUV,二是收购领先的e-beam测量工具提供商Hermes Microvision,扩展综合光刻产品组合,用于检测机器精度,增加机器的正常运作时间和产量。

IC设计

4.2.2      中微半导体:深耕等离子刻蚀和化学薄膜沉积领域

中微半导体是国内领先的高端芯片设备企业,也是大基金一期首个投资企业,公司专注于刻蚀和化学薄膜沉积类型设备的生产,在芯片介质刻蚀设备、硅通孔刻蚀设备、MOCVD设备三大细分领域均成为世界三强。成功进入海内外重要客户供应链。

其中,芯片介质刻蚀设备已在台积电7nm、10nm量产线工作,并与其联合进行5nm认证,同时占据中芯国际50%以上新增采购额;硅通孔刻蚀设备在我国TSV/CIS/MEMS刻蚀机市场市占率超过50%,MEMS刻蚀机进入德国博世和意法半导体;MOCVD设备方面,公司的第二代Primo A7 MOCVD设备在国内市场已全面取代德国Aixtron和美国Veeco,获得80%市场份额。

IC设计

中微芯片介质设备已经在10nm和7nm的研发线核准数道BARK刻蚀应用,成为标配设备,并开始5nm器件刻蚀开发,目前共进入25条芯片生产线,生产4300多万片晶圆,其中包括台积电7nm、10nm量产线。公司该设备在台积电拥有232个反应台,累计生产晶圆超过2400万片。此外,中微的电容型介质刻蚀设备已进入全球市场前三,仅次于东京电子和泛林。

硅通孔刻蚀设备方面,8英寸和12英寸设备国内市占率超过50%。中微在3年内运出100个反应台,在MEMS和CIS加工超过340万片晶圆。中微自主设计的MEMS刻蚀机达到国际最先进水平,与欧美同类型设备相比具有良率高、输出量大、成本低的优势,已成功进入德国博世和意法半导体。中微的TSV硅通孔刻蚀机是业界唯一的双台机,无论技术性能、产率和成本都优于美国科林和英国SPTS,市场份额呈现进一步上升趋势。

中微的MOCVD设备在国内蓝光LED市场实现逆袭,其第二代Primo A7 MOCVD设备,已在国内全面取代德国Aixtron和美国Veeco的设备,从2016年底至2018年1月累计获得近400台订单,市占率达到80%。

公司和团队30年来一直致力于推动刻蚀技术和设备发展,对包括400KHz双电极反向耦合介质刻蚀技术、TSV ICP小体积反应器及高速气体切换技术、双反应台反应器集成系统等19项关键的等离子刻蚀体技术进行了创新和突破。

IC设计

研发方面,公司拥有尹志尧等100多位来自美国硅谷、日本、韩国、东南亚及***地区的行业专家,曾领导或参与20多个国际先进半导体设备的开发及市场化。研发人员占公司员工总数近三分之一。公司30年来一直致力于推动刻蚀技术和设备的发展,在32项关键的等离子体刻蚀技术和设备创新突破中,由中微成员或中微首创的有19项,占比60%。

通过上述分析比较,我们得到了以下结论:

产品结构上,综合型设备企业产品线丰富,产品覆盖设备行业多数领域,凭借产品广度形成市场竞争力;专业型设备企业深耕某一个或几个细分领域,在该领域形成垄断优势。并购风格上,综合型设备企业从事的并购以多样化并购为主,并购的标的往往覆盖不同领域;专业型设备企业的并购活动以专业并购为主,并购标的多与公司所专注领域有关且在某一细分技术上具有比较优势。但是这些企业都有一个高度相同的地方——注重研发投入和自主创新,无论是ASML与三星、英特尔、台积电等核心客户的联合研发,还是北方华创承接02专项借助政府补贴实现的高比重研发经费投入,持续的、高强度的研发投入和核心技术的自主掌握始终是企业的安身立命之本。

5.      推荐标的

5.1.      北方华创:半导体设备平台型龙头

北方华创由原七星华创和北方微电子战略整合而成。重组完成后,公司拥有半导体装备、真空装备、新能源锂电设备及精密元器件四个事业群,是国内集成电路高端工艺装备的龙头。其中半导体装备为公司最主要业务,设备种类齐全,覆盖晶圆制造过程中的薄膜生长和刻蚀环节以及辅助设备,囊括了七星电子的清洗机与氧化炉、北方微电子的刻蚀设备、物理气相沉积设备和化学气相沉积设备三大类设备,以及单片退火设备和退火炉。

IC设计

公司营业收入开始加速增长,归母净利润触底反弹,半导体设备业务发力。公司重组后业绩明显提振,2017年实现营业收入22.2亿元,同比增长37%,增速创近6年新高;归母净利润自2014年触底后反弹强劲,2017年达到1.26亿元,同比增长40%。从分产品收入看,公司2017年半导体设备收入为1.13亿元,同比增长39.47%,占总营收比例进一步提高到51%,是公司业绩增长的重要驱动力之一。

IC设计

公司注重内部研发和外延并购结合,自重组后研发费用绝对值和占营收比例大幅提升,并积极承接国家02专项,实现技术突破,先后完成了12吋集成电路制造设备90-28nm等多个关键制程的攻关工作。公司的14nm制程等离子刻蚀机、Hardmask PVD、Al-Pad PVD、ALD、单片退火系统、LPCVD等设备已成功进入集成电路主流代工厂;应用于28nm的Hardmask PVD系统工艺设备、应用于28/40nm的单片退火设备、应用于55nm的硅刻蚀机,均被国内领军集成电路芯片制造企业指定为Baseline机台,28nmPVD和8英寸高密度等离子硅刻蚀机已进入中芯国际生产线;公司的12英寸65/55nm清洗机已成功达到了100万片的单机累计流片量;公司的深硅刻蚀设备成功挺进东南亚市场。

人才方面,公司拥有海外专家50余人,其中“千人计划”专家10名,北京市“海聚工程”专家12名,并拥有北京市领军人才团队、首席技师工作室、国资委优秀科技创新团队等各类管理与技术优秀人才团队,司主要研发人员平均年龄31岁,具备充沛的精力和丰富的创造力。

IC设计

此外,2017年8月,公司全资子公司北方华创微电子收购美国半导体硅晶圆清洗设备商Akrion Systems LLC公司,清洗机是公司半导体设备产品之一,公司12英寸65/55nm清洗机单机累计流片量已突破100万片大关,本次收购后公司的清洗机业务部将拥有单片与批式清洗两大产品线,进一步扩大清洗机市场。

5.2.      至纯科技:高纯工艺系统龙头

公司主要为电子、生物制药等行业的先进制造企业提供高纯工艺系统的整体解决方案,业务包括高纯工艺系统与高纯工艺设备的设计、加工制造、安装以及配套工程、检测、厂务托管、标定和维护保养等增值服务,主要应用于电子行业的掺杂、光刻、刻蚀和 CVD(MOCVDPECVD)成膜等工艺环节和生物医药及食品饮料行业的配液等工艺环节。

IC设计

IC设计

截至 2017年底,公司在半导体行业收入已从 2013 年的 346 万元快速增长至2.10亿元,占比已达到57%,半导体行业收入已经成为公司收入的主要来源。公司2017年归母净利润4,929万元,同比增长8.8%

IC设计

高纯工艺系统是应用于泛半导体(集成电路、平板显示、光伏、LED 等)和生物医药等先进制造业的工艺介质(气体、化学品、水等)高纯输配系统,确保工艺介质在制程中不受杂质污染,是直接影响产品工艺精度与良率的关键配套系统,约占相关生产线固定资产投资总额的 5%-8%。参照我们之前的统计,以中位数6.5%为固定资产投资总额的占比,2018年至2020年国内高纯工艺系统市场空间将达到109亿、102亿和49亿元。

作为国内高纯工艺系统行业的先行者,公司在国内同行业企业中具有较强的竞争优势。公司的客户均是各所属行业的领军者或主要企业,如电子行业的中国电科第 48 研究所,光伏领域的晶澳、英利能源、晋能集团、盛康光伏,LED 领域的和辉光电、华磊光电、国星半导体,半导体领域的新进芯、SK 海力士,LCD 领域的京东方,生物制药领域的中信国健、扬子江药业、华瑞制药、迈瑞生物、东富龙、易健生物等。在优质客户群获得的广泛认同,使公司拥有较强销售定价能力。

IC设计

5.3.      长川科技:半导体检测设备龙头

长川科技主要为集成电路封装测试企业、晶圆制造企业、芯片设计企业等提供测试设备,集成电路测试设备主要包括测试机、分选机和探针台等,目前公司主要产品包括测试机和分选机,是国内为数不多的可以自主研发、生产集成电路测试设备的企业。

IC设计

2012-2017年,公司收入与净利润保持了高速增长。2017 年,公司实现营业收入1.80 亿元,较上年同期增长44.84%;实现净利润5,025万,较上年同期增长21.35%。此外,公司保持50%以上毛利率与25%以上的净利率,足见公司的技术优势。

IC设计

2016年、2017年全球半导体专用设备销售规模分别达到412.4亿美元和566.2亿美元,其中测试设备销售额分别为33.6亿美元和34.6亿美元。

IC设计

随着下游电子、汽车、通信等行业需求的稳步增长,以及物联网、云计 算及大数据等新兴领域的快速发展,集成电路产业面临着新型芯片或先 进制程的产能扩张需求,如SK海力士计划于 2016 年第三季度量产 3D NAND Flash;三星10纳米FinFET 制程技术已基本定型,将于 2016 年 底实现 10 纳米芯片制造工艺的规模化应用;台积电预计将于 2018 年上半年量产 7 纳米芯片,并有望在 2020 年量产5纳米芯片等,为包括测试设备在内的集成电路专用设备行业带来了广阔的市场空间。伴随着芯片尺寸及线条的缩小,用于检验和测试 FinFETs、3DNAND 等新型芯片的 测试设备需求不断增加,由于尺寸减小相应参数信号也会减弱,这对测 试设备提出更高要求。

目前,公司生产的集成电路测试机和分选机产品已获得长电科技、华天 科技、通富微电、士兰微、华润微电子、日月光等多个一流集成电路企 业的使用和认可。其中,长电科技、华天科技、通富微电为我国封装测 试龙头企业,华润微电子、士兰微为国内知名IDM 厂商。与国外设备供应商相比,本土优势使得公司能提供快捷、高性价比的技术支持和客户维护,且公司能更好地理解和掌握客户个性需求,产品在本土市场适应性更强。

IC设计

5.4.      精测电子:半导体检测设备新进者

精测电子是国内平板显示模组检测行业龙头,主要从事平板显示模组检测技术的研发、生产与销售。公司主营产品包括模组检测系统、面板检测系统、OLED 检测系统、AOI 光学检测系统、TouchPanel 检测系统和平板显示自动化设备。公司产品广泛应用于TFT-LCD、OLED、TouchPanel 等平板显示器生产过程的检测。

IC设计

公司2017年营收8.95亿元,同比增速高达71%,2018年一季度持续强劲增长,增速达到63%。2017年归母净利润1.67亿元,同比增长69%,2018年一季度爆发增长124%。公司毛利率和净利率水平分别维持在50%和20%的水平,2017年全年毛利率47%、净利率19%,盈利能力十分突出。

公司是国内稀缺的覆盖面板前中后段三大制程的检测设备提供商,AOI光学检测设备近几年持续增长,已有2014年的2%增长至2017年的46%,成为公司收入的主要增长动力。

IC设计

近期,精测电子借助在检测领域积累的丰富经验,与韩国三星、SK海力士主供应商之一的IT&T公司合作设立合资子公司,正式进军半导体测试设备领域,公司持有合资子公司65%的股权,并将半导体测试设备加入主营业务之中。

参考我们之前的测算,2018年至2020年国内披露的晶圆厂产线所带动的检测设备需求规模分别为140亿元、150亿元、92亿元,公司有望在国内半导体检测设备需求提升的过程中,打开全新的增长空间。

5.5.      盛美半导体:专注于半导体器件清洁技术

盛美半导体(ACM Research)于1998年成立于硅谷,专注于电抛光急事,在2006年引进国内落地上海张江,主要生产清洗设备、镀铜设备等产品。公司2017年营收36.5百万美元,同比增长33.2%,公司十分注重研发,2017年研发费用占收入比例高达14.1%。盛美拥有强大的知识产权,已获得超过100项国内及国际发明专利,并有400多项国内及国际发明专利正在申请中。盛美于当地时间2017年11月3日在纳斯达克IPO上市,成为国产设备进军海外市场的一员。

IC设计

从技术的角度看,70nm以下的芯片在制造过程中的难点,就在于硅片上的颗粒物和污染难以清洗。而到了20纳米以上高端制程后,每一步供需都离不开清洗工艺。而随着半导体工艺从2D发展到3D,FinFET对硅片清洗技术提出了更新的挑战。盛美半导体经过多年的努力,提出了时序能激气穴震荡(TEBO)技术,可以覆盖16nm-19nm制程的3D结构的无损高效清洗。

虽然盛美半导体的市场份额较低,但其产品已经进入了中芯国际、SK海力士等知名半导体制造厂商,并获得了“02专项”的扶持。未来有望享受国内半导体设备需求红利,并不断通过自身研发拓展国产清洗设备的市场份额。

IC设计

5.6.      中微半导体:光刻机国产化先锋

中微半导体(AMEC)深耕光刻机领域,在芯片介质刻蚀设备、硅通孔刻蚀设备、MOCVD设备领域位列全球前三,成功进入海内外重要客户供应链。

中微芯片介质设备已经在10nm和7nm的研发线核准数道BARK刻蚀应用,成为标配设备,并开始5nm器件刻蚀开发,目前共进入25条芯片生产线,生产4300多万片晶圆,其中包括台积电7nm、10nm量产线。公司该设备在台积电拥有232个反应台,累计生产晶圆超过2400万片。此外,中微的电容型介质刻蚀设备已进入全球市场前三,仅次于东京电子和泛林。

IC设计

硅通孔刻蚀设备方面,8英寸和12英寸设备国内市占率超过50%。中微在3年内运出

100个反应台,在MEMS和CIS加工超过340万片晶圆。中微自主设计的MEMS刻蚀机达到国际最先进水平,与欧美同类型设备相比具有良率高、输出量大、成本低的优势,已成功进入德国博世和意法半导体。中微的TSV硅通孔刻蚀机是业界唯一的双台机,无论技术性能、产率和成本都优于美国科林和英国SPTS,市场份额呈现进一步上升趋势。

中微的MOCVD设备在国内蓝光LED市场实现逆袭,其第二代Primo A7 MOCVD设备,已在国内全面取代德国Aixtron和美国Veeco的设备,从2016年底至2018年1月累计获得近400台订单,市占率达到80%。

IC设计

公司和团队30年来一直致力于推动刻蚀技术和设备发展,对包括400KHz双电极反向耦合介质刻蚀技术、TSV ICP小体积反应器及高速气体切换技术、双反应台反应器集成系统等19项关键的等离子刻蚀体技术进行了创新和突破。

5.7.      沈阳拓荆:国产薄膜设备领先者

沈阳拓荆有限公司,是由海外技术专家于2010年4月28日组建的高新技术企业,两次承担国家科技重大专项。公司致力于研究和生产世界领先的极大规模集成电路行业专用薄膜设备,矢志成为纳米级薄膜制造技术解决方案领导者。

介质薄膜沉积和介质蚀刻是芯片制造中应用最广泛的工艺制程设备。拓荆目前只做薄膜设备,且选择了介质薄膜沉积作为焦点,尤其是PECVD。等产品逐渐成熟后,也有可能向其他方向发展,但目前是聚焦薄膜设备。

从具体产品看,公司首先得到了国家十一五02重大专项的支持,开发12寸的PECVD设备,主要应用于90-65nm通用介质薄膜工艺流程,已经量产。随着产品的成熟,公司将逐渐推进至TSV先进封装和OLED柔性显示封装领域,同时也进行了40-28nm通用介质薄膜设备的开发,已经在客户端得到了验证并且投入试量产。进一步,公司继续延伸到20nm以下先进薄膜设备及工艺上,比如low-k,NDC,ACHM等。

公司2016年获得十三五02重大专项研发的支持,着力点国家大存储器项目的3D-NAND,开发了叠加层专用设备,目前已经有设备在客户端应用,相比同业竞争对手有一定超越性。

在整个制造过程中,前道工序的应用都已经经过客户的验证并逐步进入量产;在晶圆制造的后道,也逐步进入客户验证;在封装方面,公司在国内有较大优势,国内份额领先;在OLED封装领域,也有一些非常好的应用。

IC设计

此外,公司还与清华、负担、中科院微电子所广泛合作,开展技术攻坚,6年内共为合作院校争取到各类国家项目1930万元;为各合作单位提供研发设备900万元;此外,公司于本地区的中科院沈科仪,中科院自动化所、中科院金属所、大连理工大学、新松机器人、东北大学、辽宁大学等院所形成了不同层次不同领域的合作关系。

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分