×

DE2-115板的详细介绍和实验指导的详细资料概述

消耗积分:0 | 格式:pdf | 大小:0.15 MB | 2018-06-16

神七电子

分享资料个

  这个练习的目的是学习如何将简单的输入和输出设备连接到FPGA芯片上,并实现使用这些设备的电路。我们将使用DE2-115板上的开关17、0、SWW作为电路的输入。我们将使用发光二极管(LED)和7段显示器作为输出设备。

  DE2-115板提供18个拨号开关,称为17 0 SW,可以用作电路的输入,以及18个红灯,称为LLDR17 0,可用于显示输出值。图1-1显示了一个简单的VHDL实体,这些实体使用这些开关并在LED上显示它们的状态。由于有18个开关和灯,如图所示,在VHDL代码中方便地将它们表示为数组。对于所有18个LeDR输出,我们使用了一个单独的赋值语句,它等同于单独的赋值。

DE2-115板的详细介绍和实验指导的详细资料概述

  DE2-115板在FPGA芯片和开关和灯之间有硬连接。使用必须在Quartus II项目中包括正确的PIN任务,这是DE2-115用户手册中给出的。例如,手册指定SW0连接到FPGA引脚AB28,LeDR 0连接到引脚G19。一个很好的方法来实现所需的引脚分配是导入到Quartus II软件的文件称为DE2-115引脚分配。CSV,这是提供在DE2-115系统CD和大学程序部分的Altera的网站。在使用Qualtus II的教程中,使用Altera提供的引脚Quartus II介绍了PIN赋值的过程。

DE2-115板的详细介绍和实验指导的详细资料概述

 

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !