EMIB技术仍只有英特尔自家用?

电子说

1.2w人已加入

描述

英特尔(Intel)准备要在几周后公布一种虽然“小”但是具策略性的专有芯片封装接口规格,该技术有可能会成为未来的标准,实现像是迭迷你乐高积木(Lego)那样结合小芯片(chiplet)的系统单芯片(SoC)设计方法。

目前英特尔正在对其先进接口总线(Advanced Interface Bus,AIB)规格进行最后润饰,AIB是该公司开发的高密度、低成本嵌入式多芯片互连桥接技术(embedded multi-die interconnect bridge,EMIB)中,裸晶(die)对裸晶链接的物理层功能区块。

英特尔已经将AIB规格授权给一项美国官方研究项目的少数合作伙伴,并打算将该规格透过一个产业联盟免费授权给任何有兴趣的公司。若该公司能说服某个现有产业联盟来提供AIB,该规格可望在几周内公布;而如果得建立一个新的联盟,可能就得花费长达半年时间。

在传统半导体工艺微缩技术变得越来越复杂且昂贵的此刻,像是EMIB这样能实现高性能芯片(组)的低成本、高密度封装技术日益重要。台积电(TSMC)所开发的整合型扇出技术(InFO)也是其中一种方案,已被应用于苹果(Apple) iPhone的A系列处理器。

英特尔一直将EMIB幕后技术列为“秘方”,包括所采用的设备以及在芯片之间打造简化桥接的方法;不过该公司打算将AIB变成一种任何封装技术都能使用、连接“小芯片”的标准接口,以催生一个能支持自家产品的零件生态系统。

还有不少人支持英特尔的愿景,例如在美国国防部高等研究计划署(DARPA)负责“CHIPS”项目的经理Andreas Olofsson就表示:“为小芯片打造以太网络是CHIPS项目最重要的目标,”而英特尔也参与了该项目。

据说美光(Micron)也是该研究项目的伙伴之一,开发了两种轻量化AIB接口通讯协议──其一是沟通性质(transactional),另一种是用以串流资料;那些通讯协议以及AIB可能最后都会透过同一个产业联盟释出。

除了英特尔的EMIB,也有其他厂商准备推出类似的解决方案。例如由Marvell创办人暨前首席执行官Sehat Sutardja发起的Mochi专案;还有新创公司zGlue去年锁定物联网SoC发表的类似技术。晶圆代工业者Globalfoundries也表示正与封装业者合作开发其他技术选项。

EMIB技术仍只有英特尔自家用?

英特尔的EMIB与其他类似技术是否能获得市场欢迎,仍有待观察;而这些方案都是着眼于降低SoC设计的成本与复杂性。

英特尔

英特尔于2014年首度发表EMIB,表示该技术是2.5D封装的低成本替代方案(来源:Intel)

英特尔表示,EMIB能提供达到每平方毫米(mm2)达500个I/O的密度,等同于台积电的2.5D CoWas封装,但成本更低;CoWos是透过大型且相对较昂贵、位于下方的硅中介层来连结裸晶,而EMIB是直接在芯片之间联机,不需要透过更大的中介层。

台积电的InFo方法则是以较低成本的有机封装(organic package)来链接芯片,但在密度上不如EMIB;EMIB目前可支持到小至2微米(micron)的对齐间距,台积电也期望让InFO支持到相同的密度水平。

技术顾问机构TechSearch International总裁、封装技术分析师E. Jan Vardaman表示,CoWoS是目前能提供最精细尺寸的技术;而Globalfoundries、三星(Samsung)与联电(UMC)则是提供类似的2.5D封装技术。

Vardaman将台积电的InFO与日月光(ASE)的FOCoS、还有Amkor的SWIFT技术归为同一类,表示这一类技术的密度较低,采用放置于层压基板之载体上的线路重分布层(redistribution layer);她补充指出,三星也准备推出差不多类似的解决方案。

英特尔是在2014年首度发表EMIB技术,做为其晶圆代工业务提供的技术之一,但该技术到目前为止市场接受度并不高,只被用在英特尔自家芯片上,链接FPGA与外部SerDes、内存还有Xeon处理器。

此外英特尔发表了某个版本的Kaby Lake系列x86处理器,因为搭配以EMIB链接的AMD绘图芯片与HBM 2内存,让市场观察家大感惊讶并赢得赞誉;本月稍早,英特尔在宣布收购eASIC时也表示将研议把EMIB运用于后者产品。

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分