FPGA学习系列:33. 二进制转格雷码转二进制的设计

描述

设计背景:

典型的二进制格雷码(Binary Gray Code)简称格雷码,因1953年公开的弗兰克·格雷(Frank Gray,18870913-19690523)专利“Pulse Code Communication”而得名,当初是为了通信,现在则常用于模拟-数字转换和位置-数字转换中。法国电讯工程师波特(Jean-Maurice-Émile Baudot,18450911-19030328)在1880年曾用过的波特码相当于它的一种变形。1941年George Stibitz设计的一种8元二进制机械计数器正好符合格雷码计数器的计数规律。

 

设计原理: 

在一组数的编码中,若任意两个相邻的代码只有一位二进制数不同,则称这种编码为格雷码(Gray Code),另外由于最大数与最小数之间也仅一位数不同,即“首尾相连”,因此又称循环码或反射码。在数字系统中,常要求代码按一定顺序变化。例如,按自然数递增计数,若采用8421码,则数0111变到1000时四位均要变化,而在实际电路中,4位的变化不可能绝对同时发生,则计数中可能出现短暂的其它代码(1100、1111等)。在特定情况下可能导致电路状态错误或输入错误。使用格雷码可以避免这种错误。格雷码有多种编码形式。
格雷码(Gray Code)曾用过Grey Code、葛莱码、格莱码、戈莱码、循环码、反射二进制码、最小差错码等名字,它们有的不对,有的易与其它名称混淆,建议不要再使用这些曾用名。

1位格雷码有两个码字

(n+1)位格雷码中的前2n个码字等于n位格雷码的码字,按顺序书写,加前缀0

(n+1)位格雷码中的后2n个码字等于n位格雷码的码字,按逆序书写,加前缀1

 

设计代码:

设计模块

0 module b_g_b(bin, bo);  //端口列表

1 

2  input [7:0] bin;    //8位二进制输入

3 

4  reg [8:0] bi;

5  output reg [8:0] bo;  //8位二进制输出

6  reg  [8:0] g;

7 

8  //二进制转格雷码

9  integer i;

10 always @ (*)

11  begin

12   bi = bin;

13   bi[8] = 0;

14   for(i = 7; i >=0; i = i - 1)

15    g[i] = bi[i] ^ bi[1 + i] ;

16  

17  end

18

19 //格雷码转二进制

20 always @ (*)

21  begin

22   bo[8] = 0;

23   for (i = 7; i >=0; i = i - 1)  

24    bo[i] = g[i] ^ bo[i + 1];

25  end

26  

27 endmodule 

测试模块

0 `timescale 1ns/1ps     //仿真时标

1 

2 module tb;

3 

4  reg [7:0] bi;

5  wire [7:0] bo;

6 

7  initial begin

8   bi = 0;

9   

10  #50 bi = 8'haa;   //二进制模拟

11  #50 bi = 8'hff;

12  #50 bi = 8'h55;

13  #50 bi = 8'hff;

14 

15  #200 $stop;   //停止

16  

17 end

18

19 b_g_b dut(.bin(bi), .bo(bo));   //端口例化

20

21 endmodule 

 

仿真图:

设计背景:

典型的二进制格雷码(Binary Gray Code)简称格雷码,因1953年公开的弗兰克·格雷(Frank Gray,18870913-19690523)专利“Pulse Code Communication”而得名,当初是为了通信,现在则常用于模拟-数字转换和位置-数字转换中。法国电讯工程师波特(Jean-Maurice-Émile Baudot,18450911-19030328)在1880年曾用过的波特码相当于它的一种变形。1941年George Stibitz设计的一种8元二进制机械计数器正好符合格雷码计数器的计数规律。

 

设计原理: 

在一组数的编码中,若任意两个相邻的代码只有一位二进制数不同,则称这种编码为格雷码(Gray Code),另外由于最大数与最小数之间也仅一位数不同,即“首尾相连”,因此又称循环码或反射码。在数字系统中,常要求代码按一定顺序变化。例如,按自然数递增计数,若采用8421码,则数0111变到1000时四位均要变化,而在实际电路中,4位的变化不可能绝对同时发生,则计数中可能出现短暂的其它代码(1100、1111等)。在特定情况下可能导致电路状态错误或输入错误。使用格雷码可以避免这种错误。格雷码有多种编码形式。
格雷码(Gray Code)曾用过Grey Code、葛莱码、格莱码、戈莱码、循环码、反射二进制码、最小差错码等名字,它们有的不对,有的易与其它名称混淆,建议不要再使用这些曾用名。

1位格雷码有两个码字

(n+1)位格雷码中的前2n个码字等于n位格雷码的码字,按顺序书写,加前缀0

(n+1)位格雷码中的后2n个码字等于n位格雷码的码字,按逆序书写,加前缀1

 

设计代码:

设计模块

0 module b_g_b(bin, bo);  //端口列表

1 

2  input [7:0] bin;    //8位二进制输入

3 

4  reg [8:0] bi;

5  output reg [8:0] bo;  //8位二进制输出

6  reg  [8:0] g;

7 

8  //二进制转格雷码

9  integer i;

10 always @ (*)

11  begin

12   bi = bin;

13   bi[8] = 0;

14   for(i = 7; i >=0; i = i - 1)

15    g[i] = bi[i] ^ bi[1 + i] ;

16  

17  end

18

19 //格雷码转二进制

20 always @ (*)

21  begin

22   bo[8] = 0;

23   for (i = 7; i >=0; i = i - 1)  

24    bo[i] = g[i] ^ bo[i + 1];

25  end

26  

27 endmodule 

测试模块

0 `timescale 1ns/1ps     //仿真时标

1 

2 module tb;

3 

4  reg [7:0] bi;

5  wire [7:0] bo;

6 

7  initial begin

8   bi = 0;

9   

10  #50 bi = 8'haa;   //二进制模拟

11  #50 bi = 8'hff;

12  #50 bi = 8'h55;

13  #50 bi = 8'hff;

14 

15  #200 $stop;   //停止

16  

17 end

18

19 b_g_b dut(.bin(bi), .bo(bo));   //端口例化

20

21 endmodule 

 

仿真图:

在仿真图中可以得到,在设计文件中设计的输入二进制为00  55 等等,在转化后的输出模块中可以清楚的看到输入和输出是一样的,通过仿真可以得到本次设计正确。



打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分