×

EDA工具手册Cadence教程之原理图设计资料概述

消耗积分:0 | 格式:doc | 大小:8.25 MB | 2018-09-20

sc0123456789

分享资料个

  Cadence软件是我们公司统一使用的原理图设计、PCB设计、高速仿真的EDA工具。本教材针对硬件开发人员需要使用的原理图Design Entry HDL输入及其相关的原理图检查及约束管理器等工具进行全面的阐述,对约束管理器、打包设计、设计同步、设计派生做了介绍,对PCB编辑器有关的内容作了简单介绍,还对Package-XL、Design Sync、Design Variance等工具做了介绍,以加强原理图设计者对工具的理解。通过此培训教材旨在让员工能掌握CADENCE原理图相关工具的基本使用方法,能熟练运用此工具进行原理图设计,并对公司相关规范进行简单介绍。

  在中兴使用的是Cadence板级设计中的原理图输入工具为Design Entry HDL,它可以灵活高效地将原理图送入计算机,并生成后继工具能够处理的数据。Design Entry HDL设计环境支持行为和结构的设计描述,并综合了模块编辑功能。Design Entry HDL将原理图分成很多页,每次只显示1页。Design Entry HDL是参考编辑器,因为原理图中的所有元件都是参考不同的库,可以用归档功能将所用的库归档到一起。

  Design Entry HDL的特性:

  · 自顶向下层次设计可以快速创建模块并连接模块。交叉视图发生器可以创建从HDL描述创建模块或者自动从上一层电路图产生HDL文本。

  · 可以定制用户界面,可以定制菜单和工具栏,功能键和创建新命令。

  · 层次编辑器可以查看设计结构。

  · 属性编辑器可以注释属性并驱动物理设计。

  · 与设计同步工具包继承,可以查看原理图和PCB的不同并同步。

  · 可以在Design Entry HDL和其他工具之间实现交叉探查。

  · 支持设计重用。

  · 与Rules Checker集成,Rules Checker是一个先进的规则检查和开发系统。

  · 与PCB Editor约束管理器集成,可以提取和管理约束。

  · 支持导入IFF文件。

  · Design Entry SKILL,提供SKILL编程接口。

  下图显示了Design Entry HDL在设计流程中的位置。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !