【从零开始走进FPGA】 LCD1602 Hello World

可编程逻辑

1340人已加入

描述

关键词: FPGA , LCD1602

  前面说过,在C,C++等语言学习中,“Hello World”将会是第一个学习的代码,但是在FPGA中由于电路驱动的复杂性,与单片机雷同,我们无法在电脑上实现“Hello World”的显示,而必须依靠相关硬件。因此我们不得不在一定的基础上,才能讲解关于LCD1602字符液晶的驱动,以及Hello World的显示。
  雷同于前面MCU按键消抖动移植代码,此处也可以移植MCU LCD1602驱动代码。本例程不是Bingo原创,是按照网友“小时不识月”的代码,移植修改最后定型为Bingo版本(O(∩_∩)O哈哈~)。
  电路图此处不解释,太简单了。
  一、驱动说明
  由于FPGA的高速并行操作,并非顺序执行,在代码上与MCU有所不同。此处先讲解驱动原理:
  (1)分频以得到500KHz固定的频率,初始化LCD1602。如下图所示,LCD_EN的频率应该控制在2M以内(不同的LCD1602参数会有所不同)。
  

【从零开始走进FPGA】 LCD1602 Hello World



  (2)通过三段式状态机,来初始化以及给数据。
  (3)通过循环读取某一“数组”,循环给LCD1602数据,以接口形式方便改变。
  二、FPGA LCD1602 FSM
  1. 代码
  /*************************************************
  * Module Name : lcd1602_driver
  * Engineer : Crazy Bingo
  * Target Device : EP2C8Q208C8
  * Tool versions : Quartus II 11.0
  * Create Date : 2011-7-3
  * Revision : v1.0
  * Description :
  **************************************************/
  module lcd1602_driver
  (
  input clk,
  input rst_n,
  output lcd_en, // lcd enable
  output reg lcd_rs, // record,statement
  output lcd_rw,
  output reg [7:0] lcd_data
  );
  parameter [127:0] line_rom1 = "I am CrazyBingo!";
  parameter [127:0] line_rom2 = "Hello World*^_^*";
  //--------------------------------------
  reg [15:0] cnt;
  always @ (posedge clk or negedge rst_n)
  begin
  if(!rst_n)
  cnt

【从零开始走进FPGA】 LCD1602 Hello World



  (2)模块可分为一下几个状态
  

【从零开始走进FPGA】 LCD1602 Hello World



  3. “Hello World”实物显示
  parameter [127:0] line_rom1 = "I am CrazyBingo!";
  parameter [127:0] line_rom2 = "Hello World*^_^*";
  

【从零开始走进FPGA】 LCD1602 Hello World


                               
                 

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 相关推荐

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分