×

EDA教程之VHDL数据类型与顺序语句的详细资料免费下载

消耗积分:0 | 格式:ppt | 大小:0.31 MB | 2018-10-17

zhongyxian1

分享资料个

  VHDL的数据类型有多种,它们各自为数据对象定义了一组数值的集合,以及针对这些值所允许的操作。VHDL对运算关系与赋值关系中各量的数据类型有严格的要求。VHDL要求设计实体中的每一个常数、信号、变量、函数以及设定的各种参量都必须有确定的数据类型,只有相同数据类型的量才能互相传递和作用。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !