分享SNx4HC164八位移位寄存器引脚配置和功能

电子常识

2573人已加入

描述

移位寄存器是基本的同步时序电路,基本的移位寄存器可以实现数据的串行/并行或并行/串行的转换、数值运算以及其他数据处理功能。在本设计中,使用硬件描述语言Verilog,在EDA工具QuartussII中,设计8位双向移位寄存器硬件电路,根据设计语言进行功能时序仿真,验证设计的正确性与可行性。 而八位移位寄存器的工作则是是在串行输入时经过八个脉冲之后,八位串行数码全部移入寄存器。

移位寄存器

D,N,NS,J,W或PW封装

14引脚SOIC,PDIP,SO,CDIP,CFP或TSSOP

顶视图如下

移位寄存器

引脚功能

A:门控串行输入1;B:门控串行输入2。

QA:并行输出;QB:并行输出;QC:并行输出;QD:并行输出。

GND :【地】

CLK:测定时间。

CLR:清除1低电平有效。

QE:并行输出;QF:并行输出;QG:并行输出;QH:并行输出。

VCC:功率。

FK包

20引脚LCCC

顶视图如下

移位寄存器

引脚功能

NC :不进行连接;

A:1门控串行输入;B;2门控串行输入。

QA:并行输出;QB:并行输出;QC:并行输出;QD:并行输出;QE:并行输出;QF:并行输出;QG:并行输出;QH:并行输出。

GND:【地】。

CLK:测定时间。

CLR:清除1低电平有效

VCC :功率。

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分