×

MAC在FPGA中的高效实现

消耗积分:0 | 格式:rar | 大小:130 | 2010-08-06

韩刚龙

分享资料个

乘累加器在DSP算法中有着举足轻重的地位。现在,很多前端DSP算法都通过FPGA实现。结合FPGA具体的硬件结构,提出了乘累加器在FPGA中实现的改进方法:流水线技术、CSD编码、DA算法,最后给出了这几种方法的实验结果。结果表明,这些方法的应用能大幅度的提高乘累加器在FPGA中的运行性能。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !