TI的15.4射频通信堆栈及云端解决方案

描述

TI 15.4-Stack 是基于 IEEE 802.15.4e/g的射频通信堆栈。它是 SimpleLink CC13xx/CC26x2 软件开发套件 (SDK) 的主要部分,可以为 1GHz以下频段应用或 2.4GHz 应用提供星形拓扑网络支持。TI 15.4-Stack 运行于 TI 的 SimpleLink 微 控制器 (MCU) 系列器件之上。低于 1GHz 实施方案具有多种重要优点,例如,在 FCC 频带中实现更远的距离,以及采用跳频更好地防止带内干扰,此外,如果在 CC1352上使用双频带模式,还能够在运行于低于 1GHz TI 15.4-Stack 网络之上时发送 2.4GHz BLE 信标数据包。该完整的堆栈产品还通过完整的端到端、节点到网关解决方案加快客户产品上市的速度。

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分