×

Virtex UltraScale FPGA产品简介资料说明

消耗积分:0 | 格式:rar | 大小:0.28 MB | 2019-02-19

分享资料个

  与7系列FPGA相比,virtex®UltraScale+™设备提供3倍的系统级性能,以及系统集成和带宽,适用于各种应用,如1+tb/s数据中心、有线通信和波形处理应用。Virtex UltraScale+系列具有可选的集成高带宽内存(HBM)或58G PAM4收发器,为需要大量数据流和数据包处理的系统提供了性能、带宽和降低延迟的阶跃功能。基于UltraScale™体系结构的ASIC类优势,Virtex UltraScale+设备与Vivado®设计套件共同优化,并利用UltraFast™设计方法加快上市时间。

  UltraScale+系列基于第一种架构,从平面到场效应晶体管技术跨越多个节点,从单片到三维集成电路跨越多个节点。Xilinx UltraScale体系结构为一系列市场和应用程序提供了各种好处和优势。该体系结构结合了CLB中的增强功能、设备路由的显著增加、革命性的ASIC(如时钟)、高性能DSP、内存接口物理、NRZ和可选PAM4、串行收发器和可选HBM。所有基于超尺度体系结构的FPGA都能够提高每瓦包络的系统性能,从而以高利用率实现突破性的速度。高系统性能和多个节能创新使超尺度体系结构成为下一代应用程序的逻辑选择。

  超大规模的FPGA、3D IC和MPSOC系列,结合了新的内存、3D-on-3D和MPSOC技术,实现了一代又一代的价值。为了实现更高级别的性能和集成,UltraScale+系列还包括一种新的IP互连优化技术SmartConnect。基于Xilinx的超尺度架构,他们利用来自全球第一服务铸造厂TSMC的16nm finfet+3d晶体管显著提高了每瓦的性能。Xilinx为最低风险和最高价值的可编程技术提供可扩展性和包迁移。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !