BJ-EPM240学习板之分频计数实验

电子说

1.2w人已加入

描述

  这个实验可以说是verilog入门最基础的实验了,我们不做太多的理论分析,实践是硬道理。蜂鸣器与CPLD的接口如图所示,当CPLD的1/0口(FM) 为低电平时,三极管截至,蜂鸣器不发声;当CPLD的I/0 (FM)为高电平时,三极管导通,蜂鸣器发声。

分频

  在verilog代码设计中,我们把分频输出的信号clk div与FM管脚对应,大家就可以真真切切的感受到什么是分频了。在代码里,用了20bit的计数器cnt,循环的计数,所以说一个周期有2的20次幂即大约1M分频。因为主时钟是50MHz(周期是20ns),所以大约20ms为-一个计数周期。蜂鸣器就以大约20ms的周期发声,如果大家希望蜂鸣器的发声频率改变,那么可以改变cnt的值看看效果。

  更多关于BJ-EPM240学习板之分频计数实验请看视频。

打开APP阅读更多精彩内容
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

全部0条评论

快来发表一下你的评论吧 !

×
20
完善资料,
赚取积分